From 24de7e15ab597f9441ef388f1e69701bc8217003 Mon Sep 17 00:00:00 2001 From: dpapp Date: Sun, 17 Sep 2017 12:23:43 -0400 Subject: [PATCH 1/5] Saving changes --- decoder | 111 ++++++++++++++++++++++++++++++++++++++++++++++++ decoder.t.v | 4 +- decoder.v | 22 ++++++++-- multiplexer | 106 +++++++++++++++++++++++++++++++++++++++++++++ multiplexer.t.v | 20 ++++++++- multiplexer.v | 31 ++++++++++++-- 6 files changed, 283 insertions(+), 11 deletions(-) create mode 100755 decoder create mode 100755 multiplexer diff --git a/decoder b/decoder new file mode 100755 index 0000000..07eb4e8 --- /dev/null +++ b/decoder @@ -0,0 +1,111 @@ +#! /usr/bin/vvp +:ivl_version "0.9.7 " "(v0_9_7)"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x1bcc690 .scope module, "behavioralDecoder" "behavioralDecoder" 2 3; + .timescale -9 -12; +v0x1c02ec0_0 .net *"_s11", 3 0, L_0x1c21aa0; 1 drivers +v0x1c1fe10_0 .net *"_s5", 3 0, L_0x1c217f0; 1 drivers +v0x1c1feb0_0 .net *"_s8", 2 0, C4<000>; 1 drivers +v0x1c1ff50_0 .net *"_s9", 1 0, L_0x1c21960; 1 drivers +v0x1c20000_0 .net "address0", 0 0, C4; 0 drivers +v0x1c200a0_0 .net "address1", 0 0, C4; 0 drivers +v0x1c20180_0 .net "enable", 0 0, C4; 0 drivers +v0x1c20220_0 .net "out0", 0 0, L_0x1c21660; 1 drivers +v0x1c20310_0 .net "out1", 0 0, L_0x1c215c0; 1 drivers +v0x1c203b0_0 .net "out2", 0 0, L_0x1c214f0; 1 drivers +v0x1c204b0_0 .net "out3", 0 0, L_0x1c213f0; 1 drivers +L_0x1c213f0 .part L_0x1c21aa0, 3, 1; +L_0x1c214f0 .part L_0x1c21aa0, 2, 1; +L_0x1c215c0 .part L_0x1c21aa0, 1, 1; +L_0x1c21660 .part L_0x1c21aa0, 0, 1; +L_0x1c217f0 .concat [ 1 3 0 0], C4, C4<000>; +L_0x1c21960 .concat [ 1 1 0 0], C4, C4; +L_0x1c21aa0 .shift/l 4, L_0x1c217f0, L_0x1c21960; +S_0x1bcc780 .scope module, "testDecoder" "testDecoder" 3 5; + .timescale -9 -12; +v0x1c20f30_0 .var "addr0", 0 0; +v0x1c20fd0_0 .var "addr1", 0 0; +v0x1c21080_0 .var "enable", 0 0; +v0x1c21130_0 .net "out0", 0 0, L_0x1c22060; 1 drivers +v0x1c21210_0 .net "out1", 0 0, L_0x1c22110; 1 drivers +v0x1c212c0_0 .net "out2", 0 0, L_0x1c22260; 1 drivers +v0x1c21340_0 .net "out3", 0 0, L_0x1c223a0; 1 drivers +S_0x1c20550 .scope module, "decoder" "structuralDecoder" 3 11, 2 14, S_0x1bcc780; + .timescale -9 -12; +L_0x1c20c60 .functor NOT 1, v0x1c20f30_0, C4<0>, C4<0>, C4<0>; +L_0x1c21c30 .functor NOT 1, v0x1c20fd0_0, C4<0>, C4<0>, C4<0>; +L_0x1c21ce0 .functor AND 1, L_0x1c20c60, L_0x1c21c30, C4<1>, C4<1>; +L_0x1c21de0 .functor AND 1, v0x1c20f30_0, L_0x1c21c30, C4<1>, C4<1>; +L_0x1c21e40 .functor AND 1, L_0x1c20c60, v0x1c20fd0_0, C4<1>, C4<1>; +L_0x1c21ea0 .functor AND 1, v0x1c20f30_0, v0x1c20fd0_0, C4<1>, C4<1>; +L_0x1c22060 .functor AND 1, v0x1c21080_0, L_0x1c21ce0, C4<1>, C4<1>; +L_0x1c22110 .functor AND 1, v0x1c21080_0, L_0x1c21de0, C4<1>, C4<1>; +L_0x1c22260 .functor AND 1, v0x1c21080_0, L_0x1c21e40, C4<1>, C4<1>; +L_0x1c223a0 .functor AND 1, v0x1c21080_0, L_0x1c21ea0, C4<1>, C4<1>; +v0x1c20640_0 .net "A", 0 0, v0x1c20f30_0; 1 drivers +v0x1c20700_0 .net "AB", 0 0, L_0x1c21ea0; 1 drivers +v0x1c207a0_0 .net "AnB", 0 0, L_0x1c21de0; 1 drivers +v0x1c20840_0 .net "B", 0 0, v0x1c20fd0_0; 1 drivers +v0x1c208c0_0 .net "enable", 0 0, v0x1c21080_0; 1 drivers +v0x1c20960_0 .net "nA", 0 0, L_0x1c20c60; 1 drivers +v0x1c20a00_0 .net "nAB", 0 0, L_0x1c21e40; 1 drivers +v0x1c20aa0_0 .net "nAnB", 0 0, L_0x1c21ce0; 1 drivers +v0x1c20b40_0 .net "nB", 0 0, L_0x1c21c30; 1 drivers +v0x1c20be0_0 .alias "out0", 0 0, v0x1c21130_0; +v0x1c20ce0_0 .alias "out1", 0 0, v0x1c21210_0; +v0x1c20d80_0 .alias "out2", 0 0, v0x1c212c0_0; +v0x1c20e90_0 .alias "out3", 0 0, v0x1c21340_0; + .scope S_0x1bcc780; +T_0 ; + %vpi_call 3 14 "$display", "En A0 A1| O0 O1 O2 O3 | Expected Output"; + %set/v v0x1c21080_0, 0, 1; + %set/v v0x1c20f30_0, 0, 1; + %set/v v0x1c20fd0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 3 16 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %set/v v0x1c21080_0, 0, 1; + %set/v v0x1c20f30_0, 1, 1; + %set/v v0x1c20fd0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 3 18 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %set/v v0x1c21080_0, 0, 1; + %set/v v0x1c20f30_0, 0, 1; + %set/v v0x1c20fd0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 3 20 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %set/v v0x1c21080_0, 0, 1; + %set/v v0x1c20f30_0, 1, 1; + %set/v v0x1c20fd0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 3 22 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %set/v v0x1c21080_0, 1, 1; + %set/v v0x1c20f30_0, 0, 1; + %set/v v0x1c20fd0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 3 24 "$display", "%b %b %b | %b %b %b %b | O0 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %set/v v0x1c21080_0, 1, 1; + %set/v v0x1c20f30_0, 1, 1; + %set/v v0x1c20fd0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 3 26 "$display", "%b %b %b | %b %b %b %b | O1 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %set/v v0x1c21080_0, 1, 1; + %set/v v0x1c20f30_0, 0, 1; + %set/v v0x1c20fd0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 3 28 "$display", "%b %b %b | %b %b %b %b | O2 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %set/v v0x1c21080_0, 1, 1; + %set/v v0x1c20f30_0, 1, 1; + %set/v v0x1c20fd0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 3 30 "$display", "%b %b %b | %b %b %b %b | O3 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "./decoder.v"; + "decoder.t.v"; diff --git a/decoder.t.v b/decoder.t.v index e0e925f..10ea9bf 100644 --- a/decoder.t.v +++ b/decoder.t.v @@ -7,8 +7,8 @@ module testDecoder (); reg enable; wire out0,out1,out2,out3; - behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); - //structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing + //behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); + structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing initial begin $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); diff --git a/decoder.v b/decoder.v index 17836e0..7761016 100644 --- a/decoder.v +++ b/decoder.v @@ -14,9 +14,23 @@ endmodule module structuralDecoder ( output out0, out1, out2, out3, - input address0, address1, + input A, B, input enable ); - // Your decoder code here -endmodule - + wire nA; + wire nB; + wire AB; + wire nAB; + wire AnB; + wire nAnB; + not aInv(nA, A); + not bInv(nB, B); + and a1(nAnB, nA, nB); + and a2(AnB, A, nB); + and a3(nAB, nA, B); + and a4(AB, A, B); + and o1(out0, enable, nAnB); + and o2(out1, enable, AnB); + and o3(out2, enable, nAB); + and o4(out3, enable, AB); +endmodule \ No newline at end of file diff --git a/multiplexer b/multiplexer new file mode 100755 index 0000000..000e9cc --- /dev/null +++ b/multiplexer @@ -0,0 +1,106 @@ +#! /usr/bin/vvp +:ivl_version "0.9.7 " "(v0_9_7)"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x22759a0 .scope module, "behavioralMultiplexer" "behavioralMultiplexer" 2 3; + .timescale -9 -12; +v0x2298670_0 .net "address", 1 0, L_0x22b4750; 1 drivers +v0x22b2ca0_0 .net "address0", 0 0, C4; 0 drivers +v0x22b2d40_0 .net "address1", 0 0, C4; 0 drivers +v0x22b2de0_0 .net "in0", 0 0, C4; 0 drivers +v0x22b2e90_0 .net "in1", 0 0, C4; 0 drivers +v0x22b2f30_0 .net "in2", 0 0, C4; 0 drivers +v0x22b3010_0 .net "in3", 0 0, C4; 0 drivers +v0x22b30b0_0 .net "inputs", 3 0, L_0x22b4590; 1 drivers +v0x22b31a0_0 .net "out", 0 0, L_0x22b4880; 1 drivers +L_0x22b4590 .concat [ 1 1 1 1], C4, C4, C4, C4; +L_0x22b4750 .concat [ 1 1 0 0], C4, C4; +L_0x22b4880 .part/v L_0x22b4590, L_0x22b4750, 1; +S_0x2275010 .scope module, "testMultiplexer" "testMultiplexer" 3 5; + .timescale -9 -12; +v0x22b4120_0 .var "addr0", 0 0; +v0x22b41a0_0 .var "addr1", 0 0; +v0x22b4220_0 .var "in0", 0 0; +v0x22b42d0_0 .var "in1", 0 0; +v0x22b43b0_0 .var "in2", 0 0; +v0x22b4460_0 .var "in3", 0 0; +v0x22b44e0_0 .net "out", 0 0, L_0x22b5200; 1 drivers +S_0x22b3240 .scope module, "mux" "structuralMultiplexer" 3 11, 2 16, S_0x2275010; + .timescale -9 -12; +L_0x22b39a0 .functor NOT 1, v0x22b4120_0, C4<0>, C4<0>, C4<0>; +L_0x22b49f0 .functor NOT 1, v0x22b41a0_0, C4<0>, C4<0>, C4<0>; +L_0x22b4aa0 .functor AND 1, L_0x22b39a0, L_0x22b49f0, C4<1>, C4<1>; +L_0x22b4ba0 .functor AND 1, v0x22b4120_0, L_0x22b49f0, C4<1>, C4<1>; +L_0x22b4c00 .functor AND 1, L_0x22b39a0, v0x22b41a0_0, C4<1>, C4<1>; +L_0x22b4c60 .functor AND 1, v0x22b4120_0, v0x22b41a0_0, C4<1>, C4<1>; +L_0x22b4e50 .functor AND 1, v0x22b4220_0, L_0x22b4aa0, C4<1>, C4<1>; +L_0x22b4eb0 .functor AND 1, v0x22b42d0_0, L_0x22b4ba0, C4<1>, C4<1>; +L_0x22b5000 .functor AND 1, v0x22b43b0_0, L_0x22b4c00, C4<1>, C4<1>; +L_0x22b5100 .functor AND 1, v0x22b4460_0, L_0x22b4c60, C4<1>, C4<1>; +L_0x22b5260 .functor OR 1, L_0x22b4e50, L_0x22b4eb0, C4<0>, C4<0>; +L_0x22b5310 .functor OR 1, L_0x22b5000, L_0x22b5100, C4<0>, C4<0>; +L_0x22b5200 .functor OR 1, L_0x22b5260, L_0x22b5310, C4<0>, C4<0>; +v0x22b3330_0 .net "A", 0 0, v0x22b4120_0; 1 drivers +v0x22b33f0_0 .net "AB", 0 0, L_0x22b4c60; 1 drivers +v0x22b3490_0 .net "AnB", 0 0, L_0x22b4ba0; 1 drivers +v0x22b3530_0 .net "B", 0 0, v0x22b41a0_0; 1 drivers +v0x22b35b0_0 .net "in0", 0 0, v0x22b4220_0; 1 drivers +v0x22b3650_0 .net "in1", 0 0, v0x22b42d0_0; 1 drivers +v0x22b36f0_0 .net "in2", 0 0, v0x22b43b0_0; 1 drivers +v0x22b3790_0 .net "in3", 0 0, v0x22b4460_0; 1 drivers +v0x22b3880_0 .net "nA", 0 0, L_0x22b39a0; 1 drivers +v0x22b3920_0 .net "nAB", 0 0, L_0x22b4c00; 1 drivers +v0x22b3a20_0 .net "nAnB", 0 0, L_0x22b4aa0; 1 drivers +v0x22b3ac0_0 .net "nB", 0 0, L_0x22b49f0; 1 drivers +v0x22b3bd0_0 .net "o0", 0 0, L_0x22b4e50; 1 drivers +v0x22b3c70_0 .net "o1", 0 0, L_0x22b4eb0; 1 drivers +v0x22b3d90_0 .net "o2", 0 0, L_0x22b5000; 1 drivers +v0x22b3e30_0 .net "o3", 0 0, L_0x22b5100; 1 drivers +v0x22b3cf0_0 .net "or1", 0 0, L_0x22b5260; 1 drivers +v0x22b3f80_0 .net "or2", 0 0, L_0x22b5310; 1 drivers +v0x22b40a0_0 .alias "out", 0 0, v0x22b44e0_0; + .scope S_0x2275010; +T_0 ; + %vpi_call 3 14 "$display", "A0 A1| in0 in1 in2 in3 | Out | Expected Output"; + %set/v v0x22b4220_0, 1, 1; + %set/v v0x22b42d0_0, 0, 1; + %set/v v0x22b43b0_0, 0, 1; + %set/v v0x22b4460_0, 0, 1; + %set/v v0x22b4120_0, 0, 1; + %set/v v0x22b41a0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 3 16 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; + %set/v v0x22b4220_0, 0, 1; + %set/v v0x22b42d0_0, 1, 1; + %set/v v0x22b43b0_0, 0, 1; + %set/v v0x22b4460_0, 0, 1; + %set/v v0x22b4120_0, 1, 1; + %set/v v0x22b41a0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 3 18 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; + %set/v v0x22b4220_0, 0, 1; + %set/v v0x22b42d0_0, 0, 1; + %set/v v0x22b43b0_0, 1, 1; + %set/v v0x22b4460_0, 0, 1; + %set/v v0x22b4120_0, 0, 1; + %set/v v0x22b41a0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 3 20 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; + %set/v v0x22b4220_0, 0, 1; + %set/v v0x22b42d0_0, 0, 1; + %set/v v0x22b43b0_0, 0, 1; + %set/v v0x22b4460_0, 1, 1; + %set/v v0x22b4120_0, 1, 1; + %set/v v0x22b41a0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 3 22 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "./multiplexer.v"; + "multiplexer.t.v"; diff --git a/multiplexer.t.v b/multiplexer.t.v index fd475c4..1ba4c8e 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -3,5 +3,23 @@ `include "multiplexer.v" module testMultiplexer (); - // Your test code here + reg in0, in1, in2, in3; + reg addr0, addr1; + wire out; + + //behavioralMultiplexer mux(out, addr0, addr1, in0, in1, in2, in3); + structuralMultiplexer mux(in0, in1, in2, in3, addr0, addr1, out); + + initial begin + $display("A0 A1| in0 in1 in2 in3 | Out | Expected Output"); + in0=1;in1=0;in2=0;in3=0;addr0=0;addr1=0; #1000 + $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + in0=0;in1=1;in2=0;in3=0;addr0=1;addr1=0; #1000 + $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + in0=0;in1=0;in2=1;in3=0;addr0=0;addr1=1; #1000 + $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + in0=0;in1=0;in2=0;in3=1;addr0=1;addr1=1; #1000 + $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + end + endmodule diff --git a/multiplexer.v b/multiplexer.v index b05820f..a178a53 100644 --- a/multiplexer.v +++ b/multiplexer.v @@ -15,10 +15,33 @@ endmodule module structuralMultiplexer ( - output out, - input address0, address1, - input in0, in1, in2, in3 + input in0, in1, in2, in3, + input A, B, + output out ); - // Your multiplexer code here + wire nA; + wire nB; + wire AB; + wire nAB; + wire AnB; + wire nAnB; + wire or1; + wire or2; + wire o0, o1, o2, o3; + not aInv(nA, A); + not bInv(nB, B); + and a1(nAnB, nA, nB); + and a2(AnB, A, nB); + and a3(nAB, nA, B); + and a4(AB, A, B); + and i1(o0, in0, nAnB); + and i2(o1, in1, AnB); + and i3(o2, in2, nAB); + and i4(o3, in3, AB); + + or o1(or1, o0, o1); + or o2(or2, o2, o3); + or inall(out, or1, or2); + endmodule From 57835a3d9dbd98b26b1a3fd48673174e0a632ac3 Mon Sep 17 00:00:00 2001 From: dpapp Date: Sun, 17 Sep 2017 14:17:13 -0400 Subject: [PATCH 2/5] turning in HW --- adder.t.v | 23 +++++++++++++++++++++-- adder.v | 14 +++++++++++++- decoder.t.v | 36 +++++++++++++++++++----------------- decoder.v | 24 ++++++++++++++---------- multiplexer.t.v | 12 +++++++----- multiplexer.v | 26 +++++++++++++------------- 6 files changed, 87 insertions(+), 48 deletions(-) diff --git a/adder.t.v b/adder.t.v index 76109ed..d4db2cb 100644 --- a/adder.t.v +++ b/adder.t.v @@ -6,9 +6,28 @@ module testFullAdder(); reg a, b, carryin; wire sum, carryout; - behavioralFullAdder adder (sum, carryout, a, b, carryin); + //behavioralFullAdder adder (sum, carryout, a, b, carryin); + structuralFullAdder adder (sum, carryout, a, b, carryin); initial begin - // Your test code here + $dumpfile("adder.vcd"); + $dumpvars(0, a, b, carryin, sum, carryout); + $display("A B Cin | Sum Cout | Expected Output"); + a=0;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 0", a, b, carryin, sum, carryout); + a=0;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 1", a, b, carryin, sum, carryout); end endmodule diff --git a/adder.v b/adder.v index d21f7e4..e4332f0 100644 --- a/adder.v +++ b/adder.v @@ -1,4 +1,8 @@ // Adder circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define XOR xor #50 module behavioralFullAdder ( @@ -20,5 +24,13 @@ module structuralFullAdder input b, input carryin ); - // Your adder code here + wire AxorB; + wire CAxorB; + wire AB; + `XOR(AxorB, a, b); + `XOR(sum, AxorB, carryin); + `AND(AB, a, b); + `AND(CAxorB, carryin, AxorB); + `OR(carryout, AB, CAxorB); + endmodule diff --git a/decoder.t.v b/decoder.t.v index 10ea9bf..3ee3bad 100644 --- a/decoder.t.v +++ b/decoder.t.v @@ -11,23 +11,25 @@ module testDecoder (); structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing initial begin - $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); - enable=0;addr0=0;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=1;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=0;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=1;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=0;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | O0 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=1;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | O1 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=0;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | O2 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=1;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | O3 Only", enable, addr0, addr1, out0, out1, out2, out3); + $dumpfile("decoder.vcd"); + $dumpvars(0, addr0, addr1, out0, out1, out2, out3, enable); + $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); + enable=0;addr0=0;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=0;addr0=1;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=0;addr0=0;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=0;addr0=1;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=0;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | O0 Only", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=1;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | O1 Only", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=0;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | O2 Only", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=1;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | O3 Only", enable, addr0, addr1, out0, out1, out2, out3); end endmodule diff --git a/decoder.v b/decoder.v index 7761016..f7524ce 100644 --- a/decoder.v +++ b/decoder.v @@ -1,4 +1,8 @@ // Decoder circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define XOR xor #50 module behavioralDecoder ( @@ -23,14 +27,14 @@ module structuralDecoder wire nAB; wire AnB; wire nAnB; - not aInv(nA, A); - not bInv(nB, B); - and a1(nAnB, nA, nB); - and a2(AnB, A, nB); - and a3(nAB, nA, B); - and a4(AB, A, B); - and o1(out0, enable, nAnB); - and o2(out1, enable, AnB); - and o3(out2, enable, nAB); - and o4(out3, enable, AB); + `NOT(nA, A); + `NOT(nB, B); + `AND(nAnB, nA, nB); + `AND(AnB, A, nB); + `AND(nAB, nA, B); + `AND(AB, A, B); + `AND(out0, enable, nAnB); + `AND(out1, enable, AnB); + `AND(out2, enable, nAB); + `AND(out3, enable, AB); endmodule \ No newline at end of file diff --git a/multiplexer.t.v b/multiplexer.t.v index 1ba4c8e..3e63c83 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -11,15 +11,17 @@ module testMultiplexer (); structuralMultiplexer mux(in0, in1, in2, in3, addr0, addr1, out); initial begin - $display("A0 A1| in0 in1 in2 in3 | Out | Expected Output"); + $dumpfile("multiplexer.vcd"); + $dumpvars(0, in0, in1, in2, in3, addr0, addr1, out); + $display("A0 A 1| in0 in1 in2 in3 | Out | Expected Output"); in0=1;in1=0;in2=0;in3=0;addr0=0;addr1=0; #1000 - $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + $display("%b %b | %b %b %b %b | %b | O1 Only", addr0, addr1, in0, in1, in2, in3, out); in0=0;in1=1;in2=0;in3=0;addr0=1;addr1=0; #1000 - $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + $display("%b %b | %b %b %b %b | %b | O2 Only", addr0, addr1, in0, in1, in2, in3, out); in0=0;in1=0;in2=1;in3=0;addr0=0;addr1=1; #1000 - $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + $display("%b %b | %b %b %b %b | %b | O3 Only", addr0, addr1, in0, in1, in2, in3, out); in0=0;in1=0;in2=0;in3=1;addr0=1;addr1=1; #1000 - $display("%b %b | %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + $display("%b %b | %b %b %b %b | %b | O4 Only", addr0, addr1, in0, in1, in2, in3, out); end endmodule diff --git a/multiplexer.v b/multiplexer.v index a178a53..66dd34c 100644 --- a/multiplexer.v +++ b/multiplexer.v @@ -28,20 +28,20 @@ module structuralMultiplexer wire or1; wire or2; wire o0, o1, o2, o3; - not aInv(nA, A); - not bInv(nB, B); - and a1(nAnB, nA, nB); - and a2(AnB, A, nB); - and a3(nAB, nA, B); - and a4(AB, A, B); - and i1(o0, in0, nAnB); - and i2(o1, in1, AnB); - and i3(o2, in2, nAB); - and i4(o3, in3, AB); + not(nA, A); + not(nB, B); + and(nAnB, nA, nB); + and(AnB, A, nB); + and(nAB, nA, B); + and(AB, A, B); + and(o0, in0, nAnB); + and(o1, in1, AnB); + and(o2, in2, nAB); + and(o3, in3, AB); - or o1(or1, o0, o1); - or o2(or2, o2, o3); - or inall(out, or1, or2); + or(or1, o0, o1); + or(or2, o2, o3); + or(out, or1, or2); endmodule From 4d6d96ef34167d95351328ccb60107fe19f11d66 Mon Sep 17 00:00:00 2001 From: dpapp Date: Wed, 20 Sep 2017 12:27:06 -0400 Subject: [PATCH 3/5] turning in writeup --- hw_writeup.pdf | Bin 0 -> 209458 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 hw_writeup.pdf diff --git a/hw_writeup.pdf b/hw_writeup.pdf new file mode 100644 index 0000000000000000000000000000000000000000..63f7994871b868cfb2a9fadc37498295ac9d236d GIT binary patch literal 209458 zcmc$_cUV(R*DoA;N4g*_Kq#R}@4YAV5Rj_$9(oZF1f)n6LI9<=&_M)36$F$ng7gkj zM0$~;BKpPqexCb%&N=UQ&UOCzzJXkOX79t zO`xclxR^M$W&jie-i80^Eh;GjR8k^!@^bt`68QgRA(i z0j@x4S>RnQH_rekKkU`hF2G5{$-&zZ+w1SEk)0pHANWTI>fWA#2rqx2B=D}0pOd4T zLx8s*mQ^fYz`OUbf)E9YV?S6iK)f8C9{!OGP)zpkwzD@-OdLB681OF4+dBX#`*+<0 zD^Z}h)L-<1{uYzy|16rj5DlP}f`o{;gN&%1sEECUl%s@%jEu9rleCkwot>SCvzVlm zjMD$Phs_@a_y_no*&#?D<~i6q+OE6U+S}U7kZ``3R|S<)n>(^SufylO`4BnO-Wmle z<;HtDR!hLCC>1r+9H}$BYuh4ce&Z+7nHQCC`o@oDUV>0-1Oh-tkUsdM*MCv||3kz7 zv&jD2Ht8Rk{~OOnb}ml-KrxBG)$lhc)a?T7JiT511E!)P|M8Xo79u7Y; z{$CQdwY7D!wPkR$b+$c!&;#rN;80140toYN)T8jsQv4z{;w$;eMHT1 zBC3it5Cq)om_ zR_!I;oEc}i*LInkoV{u<*=;p0rW4q*07EUXu=bEYTWx+oDNM~Wr5n1LzZf{9@0i|) zZ05svS(%#%xt2);Gy7KRSvke;t2^7-6-qiEVfE>$Qr4qvyL*+-)FK$1j@X)O}Sl&3)PtcRP z_KwVyqYvVC{*1 zba`IjQM+$Cur~GegnMAmC$))R>v)PQXR>&Y+3&8LSonyCx7;#4hU0KWv$>HN^1wg6 z@NwQ;$fOl!p&6Bn8Sc#0Ut!qWDt7mw+v(OB?$;i0!vVCi$$UEPC&E@;rw8+7rZy9` z9z%x{${uu%d%^F04zUQGQHKwtwQ?^xF=DcY?MdG zWv}O?9BveuALkCD&A0m+XOzykG;- zA^ha@oHB;peo>uxP=ZFeEQP?gcPvz?iq@z7UM)#6uH#K$TFySZ3$e4=?}OR4^1771o>43=wSulcgHX+F ztu9Oz?{5X>X*VxBc7LU=J6jDws@JuQpvs5Vj{uGl7yVRdnfLKl$v<+Qr8Z73fIWEe zd@qN;*OC42u=f07cIr{Phq*7WMqF;1?O-UhLtjY0(3p3Lx3Jse)oG|!TlBK@A?q-6 zcG0(rcq%Iutz{6UDO;2aNz?L-poV^j9m)6 z8T>%8i#KPc>^@MkPWWY$e-cKDTV(058yEgXrw7_JJhaI&M;scrob(~N&@X4{Ln{G7 zCoI?)As9__Z+XjQZAUU4i^M&r0g^8b3eKp6>5=e_h%67e8Q<3PpFc@_JMy?{N76gb zhd$S{Pd3`S-9Gxdlu3UMQ75+h43!2|$hUtkaDjNuIZwj8=6H?}(g9XA$k?hLlY;MD zy!5n|W1hRZ83t>`%PScHG+f11il!VTlsJalgM;ovr=i+ek>VFi#NJ7hithnN8OQjk z8AnZ3L1B3^!|7rxqH**KgXS>UV?R-A6GF>%VL$usN*!}I7@lKGW_lX3zGri>CEP)% zGwer7F!O5{PH1a!oKTm21|7q3 z&0ZgNC`fTZ8q|?-1MrP3*xp>;j?d+90ENM%0e7~yWn}XbIB~LrPo)pGnFqo-5%?mR zGE1Lc*tnVjJ(<#xAs3Y(t+t6pV1gnfvR0G40wgeLFf`IPO_p(dwQF>W*1qw&UY-&C zd~C#s)C|ht1K8{`-%YA9ySe+wq(Fa{%(o;C6xpz&kYCX)KXvEma?tyhJdcK++^Wz(K2z-|LoX?~iZ+YZV%t?`KzFica#KlBI&wnAa{~H@B8y{&P0} z0iy47NjEvBiT0dhLfNQ!e~KC)UcoKaZ{;?|{6Zf)X@roa+E!6>)&vtV4e0es+V5Jb z^>R0HYY}*-E~+S6*2;czC5EmZmb_cuT~0ql?&vHMQSOH-%8%x-LJ9)bD}Musmdg7V z=327Zzes#|pSkW>BfCzyQmxl@m!E}OQOu?*9=T>RBJ=H*!*76f@U?TTT z+;mSjQeUNhtj#^XxQ_|oYlSo(8y#I5@c=8k3j3OS4ZsCj8L*8!*aPUu$vXcSpU}s$6dJS7vc6pTIfL-;zc5wMeDO zZvaDTl8J~MQugrc(O$d;bz7 zB+F91aMSr^72XF;@+=e*fSZdILMiF2+@OmQltlE4gDx2l+lh^n5S_7LXaEWg3?3(< zFVg0ZmUzcl=S1B=u-M%3!*cTd6)TmQ&s>wHZB=DqRJ< zrjg%>Dshe8^eNpaIJEuvpzZon=b05+(k~R?4PHaMGHU&jCO-nNQ+sk~3^wiwIvFAqpe>cBV;$~E;hQTYl< zxzA#5JB9>V^8NU>Z7~jrh%0w@o$`qt>K^Fe9`uP@MA;beu6b{Ns|xLRi{=05tQM)+ zRTiium)M7Tyjb2~7LNOPZ^u0{D5EXBuRcsq-x)C}mY-_f+B6#wD?n<1bAGy3_~>4G z`F8GCskDQ~Vtcrgb%V~N!G694Ywm%a4~mC!tLCAH#c1`nFy=*uvzBKDKYzS2#OTC_ zH1RkBH%~i85?}DAnH8!hcmkyi9?Gl?4%5H+E{>RMxbJ-d+8wl7m-{ULNx8L~-}%KPd*Tw>x<1=H$jU*OaX6h1Yy)A2BIltv_geV}0XqdV z^11`hD966VKrY|izt0a=K-8Yo94n`hMg6vgCua2$yb1%XYAx>}f7OWVo_@2$yWHLV99ttG3=~jOzy-cQH0!q3nDa zb=y3zd-K7P!*3?YruU%F?gd-j`n2db>KCf@p#8$^>5{Cvj**Uq*<-Qj9>$+t-(S*t zLcL9^2feb{J~x51oHb0n5F0vxvg=g0f$w20eW#(b_kRhc_WXPZ!I6)0i^k1-_NY?m z!J|pw;7ftnY#JUh_9umXW&RrD@g?=rqp#&-h?pYejX!eAPD+wERUD3)dC~3WKs&sfqFW{Ar?!GTxwOL8> zkv6G;TI6p4v~{lIMTNtIBPog9?89uC;>c3}ha(iMoyObnBbqWQ(HI1?pG+;%Hn%4b zK26r|D0L$r+O8Ue=ot6Srl`GOx949*Fdk>(L|Ly}2)8mKxt9(z(3+`YU*Bt6POSYJ z!Na?%!!t&YL7ZO=y>e%EG^aYO8}M7>Tz_6;H(hoiviNlE^|n<;-VR~KZph&<=1T&@ z4TNx_KfS+tZ}Cj=hqs2>#t`%M`p>r>o>{7z zZ4u>KiVj2LOq(|k_+F%}bQZ>ze96Bt+#4V$-|%u?1nNpt)y3V_v@v>_^8CYN_lt*( zD}pbjW^s!I?F>*`e6{*0`nq0D+a5#j@N+NkuK0qhfk!@_F*M^Hv-8Vt*Kc*r=Mv_ji3rpi zIYA=~7ydKLNwkB{alr<%0HOD~WvZLcDWH!Ib@}J)8oE zlHhEC=3_wU_MAI@fah*w9L<7H1uye7&iH3w&fDh;bBW2Dv*1IUet(?$Ng|c!gQDsM z!zH6cgwHW_LaI`0%qI6O1(GDA`Xor5#;Q(FS27KHTS%SGo?Zrv>L$=Y) zps>n394njCB<>#fI&rUdy>wv~e2%9zaVUv+j$5#_b?i!=^3@N*;GC&QJWGKor6euM ze!=wXgQhTt&=xjSdO&1nau_ZPh0w$&bxr6d3I2~~A0~W{H6YSUACPTYdY}8tCnnkb zWN`>o4Y=_Lz$2;KPxd4h$`3lSc0N&-T09BJX3~wbL&nx|m(L#kD*R@0oJt_ z#6qg8A5T)1tv!-^W8pcLef0T}TZC!TPwfRL3uLiiZxIbjQ}REw5#u?Q{5ZgQY;R+L z?5;6prfQY{R>YhHDg)$qwjO<0dA<|mo9h3->20@b?hDdvjWDQqT8I?i3mUgo z$)=^s)#ZM=yt_oXFGMF_KwUa!ObD+(m54d3*|K_IK-)ikOS6*bzsTCFE zCRUhEC>K=DY4fm(0x`T4mC-uSXU0zSU6L^T^q`TlEuP%(l4zqLqyDO<8=c82z9lcT z9_rbG0^smA!qNy0*_NksFH_#QeY3ZjyakEef#|A_bx!&-&9AGa_WOkM_pZ-*lkaG> ze0x$+Zq1OjAufrL+2{m%{dhJXd{b4oFEkGQa_DgbQgt}xd@Is7dn?CBfx*VR5T>Tq zrT)xlCr90KAo3&LseW9()>FFj@7sw+(`k|{m7*8iKg9baW&$jb8#HThG}o?9FBf|* z`)XrWDUedxnH1 zgFZvkoouB`9FcW)5Ll(-TJ?F!WW>;C5nfb5{7pxa%V77$FrxVl=@ct}Gzie#u`lQy z#sa!c3e9{rLUIEh;Lh2u{PgXyvSDOp(15x)8??`a;N6hrbSq^z01YuSe7ur5 zz87voxFogjmJ;A=Y^UZ1g;&sFgaB!Z6j9NoYiUHhT>kjUH9e^z!cLQ*0psrGRR$9= z@e_0xgO#qcx`0sI;s9MNUNrG{LiGH>9NEDs=?oJLM3qXpYshT~saE&whR@b+jwZY{BEdYmLs+xB? z5@9}yr-`HPpaYxxfj*0})!;?g1>q^f0zachyDh&G8$!P$qY!3I%E$F&NM@sZHQ;6+ zsuRokiIqIt5GFp>u^g8L3+YRPBUJ~q9$R`&&w3t>NRqAL4LqLzeDd(B_viey&PPsR8a& zP32vDZgOiZ$Q4=;%M(`GOUx<(4Bu2c)<`^^CB7ZZ@G(Vl=LG_o|4IK~B|X_;R&@BP zdeOJ*H^3>!>ihTKfP;Pkb1H&~vOS09FP$^9lQSa%M(HGTbW>iCHtlC!kb!l)R8XE{ zSlHyYC&T~V@z*9;_!mXBzOry=;(kT41j{$f((Uh;%CBY~WFlq#4RA<|wOoq>r+Bn{ z@V04LGQ%HNH{KjUKw-RP$(6jo7;fb&B`u;yrdiKZYG1H%Jx$E&vd(s(m!QXFUoI?J&N%ld{6vRJmTCulfJu;igttcms@#u^&1?|y0-e}k+DIphia%y zj=P@YuP$6zrkR=;GdF~@T}&68me7rF-@c3pr>ut#-BWq3?n=P%kjdyU`Gh|wX(?_Q z+{JN5k`x(Q3ll%mN}D=J%eFGI#4hxnr1x&r1}exW%QwDZ>V3h{vQ0xQtNWA;vKiGE z&o=$BzTlLUvlu$_!N!98O*dC+jl0qMju3UvfoN-@{i(fKReOKyGHA4YWb*FYXmtCW zKx##(4%xWO+G_!&?`2H5?bSI`Ol%&&niQ~uzp>5FCwDnA1K1viMsZQJpwq8oHd@|L zeSY2|u;gx~Lb;k-S1cHv`6M~zx}C$Kelc)#@$oIw6y0CZDN0`g z2A4kS{xS_WsF#vjWl77|WFit>?F zta0e({h=%HVA0b6qK)$DNPmmFa`iws$+m$4gSJPTe#0tLF3!aJFR5OLv4s2ml;W|t z%9BNPP|ImF5?+clY_BWT7p;j;o&|Z}`TA*nXEPj^x!0q1VgyleVM*m{*J)RaMRR|P zK}$b&{t?@}RiZG6WQHb=`$g6wcz>F2#O1rb){CgnxzVP=pDI?AskN+FMu;7L6qu5cYPYdI-gUGT=el=Uh zq#VCZ!k@oKRy(TFDzoy@H4QDrkUjVIt>PuhL2-a@HtWL?XGB)QuSmvwIfGwH5+{u{ z>ELC?n)F~gV@;^Zx`kIZF_K%QWh|3HW^^1ICF0^A!yg!SS)f3acQqxf|~ zX1MPThPY~kaGKeeBp8y7%4^a0K*k(8N=JSJAYNHM~92Rd3p>5~q@ zSBq1-EH0QG3!CD1>2fB%#Ucj@!ugeMDEc~=b)4aPiQj-vq@&(@rUPzX%e1aOg9tQT z+pB5sYAS6G&90xRzB#Z>#!z1oq?ZVf6?eVR2^-?Lie#RguXgr-1JJCS-W@U#|AW8Jj||A@1Qox zbajBm7bpaty$UHYT(bji6*aY%a-WkW0BxBi`a`eUtt{9QMdOoW@fQ zvi&ZIC7uaczS+Hz7g&k@`VoqH35#Uz;a!Y3diJ1uu0Xvk{UP3r^SSZ;`Gq;}s&g;q zH+o+!arJ~~Ngb1fCM93xCMS8$)*Z7*f(IC~vL5#}iad5O5;*6nMBbCWubqLbZua@G zzEam}1Rk8r{SuTl5e{BTB@L04{C?Cxo|(mJ$8&O&nD5rKd`u~mq}kzl(VV}pXGXWH z7NMgfLr;i7uyYS}Gm6zbBJt0+S(sLM>Mc}3b?U?^p2)WqQ&Xv;K$|mdwCYpA7%6_J z$N(=xRiag}Vfhy3Q3X3=sPcvI&BVfQz@tE^puIy@!{30_J%ifjAp*Bh1Xs6uYenu8if-8DoKb|JG68+pErcnR*CN=Sg z`StPAvu^&cHV~ShVy&H=_%)%w0rZykqC(pppC|h8_DCPd>qfE!;iu~+lP!^PtgY64 zl0H7pnz`uT&uO;FtfJ1*MAJAve~Gv6+sC|zD?V?>xE`!{Q|UHvb(7`hy|eQh&_uVD z@%eT-WQJd;!?SJDQ6rxF+bN9Ux=sSkjmn5LQ|Z&*H}-Hn`2`ZNd15fwb{{c89Tu|V zJdi%Kr_AaG59$kQDLc*9S=I(cU^Kzc;EF%mY6oV@g^Zo)4g63po87dlZb>PnXBt>Q}VK&PK;TmvZ@=BeEJ9FLPINgHBXF=hbV*sy$ zYY1EdQKL|Dl zUR|+=E)l-Maod5@l&_tq?Df*$TGfp843B21C zmt;@;dhpbFek5hVcfptXj*~{!SW(*sjPA{h#`R2hXRFoi;$!IUfX(DbAA8x5Bz~bH zf09!;F{5HZh4_QbF0>KU2$C0+hnM_8Uu|_ zEf~Y+qifG!@ifwdW9zg;uYJ*M2Bq@a4wvm%0i)jj370?edDz=&Gk}w@oF=hZ45? zx%[{#z#s;O|fj@QU4#wiD!y-67TsUY{<#_1gIjk!0k*KxOKP71k@4tdZlz>s!7jJ{hvNvlg=2Hj=h0aBG}vaPT=J3 z-j))yz`G^(c3+K^wF^Q-bX7^;?pl+Uw#jQ7+M)taka&n z&zn`Q+SHD(5`JZu*Y9jq*X&=YVu5!9D0(jXCVG^z`A6VSQ_Lb=JC&0(4_&;&ui8N6J*Z9qO}hu2=I!)Ts2PYk;`Iz}>vPZEal}Qzzu&H@&2^C4y)9e6v>@v) z@T^WB_!|(5zaG(7+<>aPoNS7r2N?E@dH*Pf%et8FW`-0~&Je1nX!`{k>3C2T|S9ofW75p5{;S-8q`W!AK^}&FaJD?{abL8LvK`igGgM_#TFlBh-FH>T zsHSZiTO)8Kj6Qmd)*%&D-A7t!FT7ulVwa>?Y3)52;SVhT>fcn?zbGtjD>Qvz zS<+peICT<~o^IrEZ{o_Vc`#n5eK6G1LR|P(!`4$iwLE@xJ`*9n8tk?a3Lf*|;nxGx-R(4)qR>mDz|HoV1_uWYs0g}8fTl$f7ge&!TDe#$&Ozm9#zG^ zg5gm0qT~Gyc&fwnt$uM---7vLHh3bZX|ThJr-^mi5R*yLG;>$p}{iy(?Be28qM0I1sVTkXJ&+ul21+X_@U_8Y9qWG*UD3_F_`mw z^ocKQ(c90;k|vE3V7$Hs_W{*LgEi9Krf=tpd$qcDS_7qyZ{GDITcUphjF94{F_|=Q z2rp9K!!lTZm+y{);n;NQ5?xKBb;$N;x=`yKgfN|5+Nis#(V}5(fes^HMz-~0YX69p zQQ=o=qrJ(|d9KPxgBjb)q297hGJRkIsU&vW^?z8y|J&dHwWt@FzbG$yWtB-d<7+~l zh-!|WWGF`!N0(2RWlHHB!Bo*35Ksm(eBb%w#DUZ`Ww!kzD~Iv>+NN70F%N=_;05uJ z3gr`28T}C>Xx5~%sAR5E*yoOgm9LXrA3VGduA;oFT3Yg@v5&leY{fKO4|||)>C!63 zXxp89xIc(E_Y)mqKxSMg#v82OR*O8SnHks`y;ZUR7)G>HT-+fO=4il_enrcVv|MjA z(`@1x?S<6%coZ^0P9Wa?cc;pYqp7>Re&fA&4WGJSk)yT5;19=Nh z-P{gBZ(+VmgOba9(=9IS+KhoinxfW{^~G%#n&nRY25O5jU_}XnHdHu?Rh0ZJ5hE#x zoYbb``lNaIq+~&C$;BS;OGi7CxA)%b6Y^q>Do08&0~!F{rYyIN!Pes<>9c|a+?UNa zpA|daRsp-?;fEvQo{dI0P`sg^cdYjAr$P4LfDzW^*JYQRjJ0Bo#Ju5V=1$Gjbei|wx-3GcTtgT_qOw+D zW-ZH3t!eN=w5k9EN1d0Hm3Y#c0%Z*{_5uCT8n8&+&TZS)9~-u)ZB@O$MiinMDL_9u#>DLAO!)9za!;-R(~a z70WkE?N<-`maE9esb+7wZ)G61s!;9CtxJCN?ebj%BvsS&?IMlDb4g2&Ys72zQ$8!? z-*#%2ir07!b9}vlBJ9h?3-j^M`$74TZRWoTA2lS|FTT=y!xNa336bv*mC#UEXksKI zo&wS@M7H|J+-vbk%4LbSI6*`%b2fOmVezd~tb| zr-of#1={Qhmu7_9+iFfid=2G4s_N$cYAB;S0PdtG!voyOaxG(}2S9Pcu0C?vFAx+l zm5;cApj$1X2KVnQ3+q`)X1*APQ4>go7zlG%>|SW~wpFwoiRPTHBPJgy`ZLg3Aej<` zC=2j)t+{*-e6MQX+oh!sHd0c8T!_|#A=#tvMz_9-ZIr{m>lj$rwU|Wxq66{X z@RNC%7;wB}5d!hCM+*!exxY31&K2@hxVHPJ*gB=u+hRtIh$jf#UgZy#TU1iI}eau$!ahbLQlh+pIe@Uwhj;n=8!n zKIy`!=t_r=3`2cSOMcXpS7%oU^_^HI`Ir#Lwe$H#H6K9D-GnM!2E^(wZkxO61l95K z987M%zp|G9O&S(0{ zNxN*5qRq%OWr?9IlS_taQ4{j4?)bFMevQqFLWD`Q?;{1OYl@3N(I~ZCbZ}`L>VeIV$xUvj)j=;JJ63eM9znlii^kG#_)sqq7j!0lK1JaW`n?E zrGQMDH2;3k1hR1wtkLN>8@wdcIi&i0A%?+PSBy)NgU|TLhy~h`ah3=Bfr6KygL!!{ zLIR(*t4*WF<-UX8@$a|0Sq1Z@XV5n^Mq)U&y@OF1F`H4#1%w{{iNIy_JKeEv#y|v- zrL1}`gc%v$JK(0|Dua%p3jC9HWd5^F^go1{Kl?`di(&VzJ+r=~Tg4-?Duu!GG~5kl zTaqV{FCP)UtB+2|#33DP2PzCA>WxnHp~TZGX_+ayq5Mr+Dq&xa{kL+t+qosDACmKSey zr&%j5P(^3wV7USq*larrZ0#Aq~P0SeB-zw91uHpBq0WvvxCNPDL+twg< z|0mC$SmL^7+oIjWHF=E`Z`ZyvPq&wxNTJ~*z2n3Liiw7RkbnM<;mzY4f%Wv+_g4|K zExJ^tU6}Hbk;{~#O%O6{vfr*;15G!_WpUAr3^^j-Ijp=FDPo0qoQ^p3Y!=qllvJ-tT*7=g7CP!z^8h3nRS3F z43iKp%+MiPo9*3X1vWK-qOhrn*`o8!U4!M@{C5}wg`3OS?A4^3uiY783}Rxp=AZNc z=kyIL%l3#$E}kdR2x@gumuRS}>y?7i(*DK1;@;fbFhR1~{k+@`yotJo_sEfaA?}&X z;%06S7Bq7f30EE-?5Dxh<-S!=O>A-7!7J(d(c1pBDsmzVe|ywa;suzLS?7ZxPdtq0 z^i5!z#`8`RhSceM-dA{+uC|a5l4bWqp6EB)055ewOz|~IUAyh%`QB_z2{$Z z20VZX7Ip;9nqqEsHvEG)^Q2LT_Fk)DbYq`?(>I_?*d%t& zOiQdJLNR`^c#7~%qj*JN?1wpO4?l5X+>z{yfa$d6o#R;Y$s4CvxD4g)74u$S1*M<1 z%6mk$8_%>8KuC$^qi^}B0-rrGv&=SybgL(kh#)SP9y!%bYeX)lE%=%*H?>2#H30JK zIFSUQCzoRk*VPX1+OqNKqzB(XCGUK7c}B|lQBWgo znkfm@*V>$IR8|+lp+e8CE;F=|ZDe-#85^9Ek1HheO@t8%ULX^?^kil6RkaRnV`YF#egQOIjgq5UXrR3OWSN% zG#Ts2FQV%(dF`;ya&Me4AF*QF-v}3Y)aFmKV=7kMe9CNT!3=Lkratb_@)3~mVxqiB zvyG<_f7$Z|mVdUfG8uxL>7Z!m=1?StSX!|^wnX_~PxG({zFw*VRFRT09j1Bo9=V4n zJcZStnzs*yDrhs%v@*HM6`#gr@+zzcv)`D_lSeWXs1U-dexyDcvpy;%Xc0Eh{aVyq z2xVDmv!!`Rw3XA`y&r$nk}!L2ad1K{dk#SzNUcCuErV_IKY+P11!AIBU;cEA7`t=9 zKj`QsfOz}7To(pV?*heX?#Iy8|&f@7U&`6OOqk^#<11k>Vl6_XyFJ zn3|SKMS;Mq_wUvO+ul6ou8_N?LuRtSblxCgTF7abtCyD6`m&!M>zyJDbBfQT~?d;kXbi+Y-;nV&ex}$x!fom!0)s4?$)Jo6lv-{!+I|T=OtL)(0y>jQ^zXYD>ZVqM z)`!}`kVN~%71W}N*~+*K63>mF=z0(jWZ$%plCGO$GC~7hQ0JQn1N?B2I?8)sncjXO z;FIdokD7FKoY`wZoeFqp| zwf>iqlsO8@Q_1cEsBwkOFHcBCk!KEvd+mErnnlt!0;r{yAzp?hEizAq{=Ncs2^(lo z9C1iGf77)<dQR<8<9(?A&MnG zG~xiUuxY#R)&$Z9mmj_Ys{T}(@p8o65q;QE+^(q$%Z_T-D2x;V^xrct>3!jcsKLGg z$w7->w?2}8k3AF2Ivw7q@}0Bxk!s_>RL6&Xx5&*8nu$jPv!?`(wroCtt8YacJV!>H zdfa<7P&ly+aO;l1zN>(xQF&vH+B-t${tjifk*9O}%>e_23J`wXr{~9f z9YU>TjQjAgS%{s5R)pYinE3%R%(xkCw# zyCXhrWkq&iwqbT#5u#nwO#j+i_bJPQPi`i?=L7!40px*HDlpS$o@GxG%WGSJYLhLK z*@IZ13w;nrye@|#Tk?L`s3Bp^?5`kPS2aSqRV9W^noZ`1T66AB7b7MfNJpVLIBqcg zp&urMvILVp)qUw zmWrQEr^1v{n<-WL=-F9@c@i*;KF5_ zXWAyr1=Qe7i#kU*y@MYp__1MbfjR}xwq4@GLL++AZAY56osR;+_<>Nmrs3-EFlJft za20g_FkR4BkmmCe$C3q<;jLI^qi(*YkggHnmf3;rPSoUa9_W>=-f{o4cvvA6q8#ir#TfxHW#vj|(N z;0F79eBz7yy6sq0hK2|OWPt^~*CvQ(Z=a8e2l)NU zO-8@Vw0f##c4^?qRWLL!v3B_Z$TE zmFGev(OW9$a}>QVcXSYU7}VD7bjr?pkHpd_29y^GMacr!@p@~r1LSXKpYM0*Us2PX z-$xL))q17wE7XEr4d|rOR7qG*6DQh$Z2Z9KDGjp}H*6a%uB+wbbMy#RulKTshTb%;WaN)Jt?^QAuLYqorD zXXsq1R z?S_;TMuQFz*>;sWkhZ|UW1DVAKt&Vxe~C>0c>}VfveLX=z7(ptr@10lFS7ik z1*EmCMup~34MXigl#rs&Rx{Wr;nMt28 z`59BOl^(@Z0k^rn7*_g)UE>XtHnU4(F4TQQTT_(M^>R3`X~uar`e(9NTC7X>Sqkr_ zR^xzSX2~ZyFqn^Tfi_*M8G`_JgVUJagriTDKsUlFmnu^JX80R`COnq2*`y)UxAwR} znCjrNhLfAjsSb^%)Fq*Oy_vL(sRX4J+7cS#bqUPOHotnT6{tV(9+aTQm9NyGHTDxP zzg_0|E4-OBf#hk?11k%)$>uyTi2BED#>3f5>HZ&w-bub%MctaBWl~?Xvus~_o4Ojw zqfA>PRR%VPdunHZRVp}013(?k#i!h?CA}-=cA;x@SHbJb@;hm>gsUZ15A$TouPS_c z1`Hp;4+O#@X7xNMT3O734dlds04N9tG&($)eK0GIFlMp_J+Ou5kgnIm7LX6HP!vbOG#vZv{s4OL2GU&5{S!a^k)0O81$);|=W9fuinL#Kaenu|dwxVrmpGZ}1!MWL4qvkqZ zQV4zo<}6V)rjGx#?!Y_gVsVXOBSrO5qJs`%;+bIY{nhG;qF}xnZ$MPaU`CWho#g%V zNQ~<@>GA&JQR^2xVw?SnD#OHN7cw&ZeQ_!ul>F1^T_edoyDL52hsM8_fJY71JiXjv z<}q@@kY{XmxvbZrM(Aw_i7$qrlAH?lBT8n_0n)r(CSes^Bj0M3+5bi4$$-4mQz&X< z8g*=$2-BLKK$2z_h|fkW7NwQi;Ei92jHV+uliJ&s@i=%WT!*}e&y)*`b-I#JV;mMQ%~|K;{cPW8j(O%cN8+_O{Gw;-c%lc zMEK?*G8N!v`-QqXP-s@uB?xH=Eu+zKBlqjLN%XJ6Yi@dY& zs$t&ucR4HFel1i5Y*osIgd!C`n$)`ON<58fTyA+A`;OZk7UfN#L4(_<7z;GP6l$ub z<9_Ju|1LG0o%ftP1hxkaMiF#06u;tDiHa;8@YTtyt$lOv#vHad%aD5@`!O&nnBi@! zws4)i7nH83LWNR7ZJKZ@=92};;T1aE<&hbB`iiXa1v!uI3w4#9@Qki^ciYG`#B7P9gnIVB#17~{UccCbgh}Tm*N!@Cg zq*~^;Fc!=T=XaO)j{>bY+$Sl@PRA`vi2EylT8 z3BdNtwD5~kzw(IL^{@=HXe5o$+l)K+{6 zp&V|a5C^VuuHr>=j@rvzwO$mv!Ja}`*ejE%{B>g{R+T-ldn7CJK1PoBH|2}$<|pG{ zFG=4n2Rl;4k|CNSDgE<`t{?fE-6=SAo@S62RrAtcD^_>MaVdYoYKQ+xHLTS!T4rf& zwZ?1FIU+X$XH1jgzLr|!Z3YtqA{bISMlQOUfc)k(JspO*L9VzIy8mPzd)jpPevCM4 z&)Smo>+UkDj7mhYS|sA(pqnMNh!lIY$GezMb@jIvUrV%+&+qFL}e4Q|JDZ-p1iy=%v6cPuYN);cM_z;eXdrFlaeLgvAQ2g!+ z8XbRVd3bGMv8+|nso4!d9j*%0X*UT~0Alv9<@WfMl0yxokOo@5i9_Jji&EiPeOc14 ze!AqZgf>PP_i)b)dK%#A=h4JHyvm+bZh@L!VYO*wajP@y+2BE~$0nHZGxEnH?itnB zhD&9-4SNtl!ys{^O{vZIT=I@(uVZ3r@O?<5+lf%mW>K9&n>oeUJQIpIIr>Vuz`swk z4IVPC_sOKwLvU+he_G>9))xW<ZN!Oychuzi?h+`wEl%H9#nlu|B z*w(nXKafrBgiuIMgF*n&#K34A{Gj3m{mrY>FO7hV+wlew)me#r$I!_M?p(%`x+I5C zBw4G}j_|qzk3yP`iH@P6uPd()@Otyu;zq_sLAk@K&JQjB|FHKJU{P&r!-J$WLpMWr z42U2I(#+69moy4e1B&25LJ$TRx?5l<6@vy*>Fy8-rAt96mDGP^#1o!#J>UO)b?lQQSR%!a1|d-)r?!F}-h-I2Yjg3y;X z{Q5B+9w0zSk}Jy_z0!*8T{B&QRD3s4?YxZ(e7xUi5J>@ zrNHH-*-4o^iGaeAo3*OW1@g|aEh9+*y}Tx_lpt)uy!O{SMf9WI z9|#Uj_xFDRIP#r)_&{=%c;$)UC!V@BC$N3hl*%PL{tND&VNzYy#2a#P)8iOVa78WH zWB7WinUTtyjeA!k85Ly_uT9&jxhm?4fM$HSAT>B-3Wg`1VA?AAa)}U_m`a zg}M+UwiU(|>%9+v0Ni$N<;CjQsdL&mILsFHJgjj2I4shVQCR%+Rlb?o&2eo!ONV8u z2W3py%o4meprKwdRaazd9*sCX6lXBkr&oNGM#AN!5xjsKn0pXpoP3^hy>l!r$-BbL z%IOP0Xs|{OC8X`m;S9^e^O?F&_Eg_;eaMv-;=Q`Qv)Fn~vktjT2x+AMv~B_*h)U^o z2kPV9t}~x+e>UL`qy}=mX0wKpq+kn!T54|dal~g9;nT~0lz3$`vhUyQJtVpGz~6D& zS)4V=gIFq2Xl$_BnOybg4i`2jDP*2Hd-Wbpse;&&Z7p+Xenkn8vlDGgT&$^iXR;TF z0T50Azu~g_ROwdfy6UQ>dCi>%j33wg@>EHwfReY}aI8@%icO7s8huA8;1_B2`SI_C z-;(r`2z^97O6g;pPk%S$Clcl1OC}yrFFIPX zQ*$e8P1Weeor8B+A)kv4?JKYOsOHsKIuLca4GZg;@yBw7G5IDBcM80UPedMUh#OSe zLMD=akE&|ChPqr5-7qxt%W1ON%_VYXYDl$Hna9hE9BRr0eu?~=@TAaWHB%pzcVa`t)y!+6qu+8*UbTKK39dRfsB1JlO^*}vq1Z4qh|25~Z^U4s z*}gxSs`b?UyNRzly+)a7rQTde73#*V5WRZB7FE-+dw;3aadonukx8SagG|gddDbZ` z6YD$-PkX?ZgJrkOxU2%NY#cbrU z0D6ATSRh~_{sQ%|uE_&4e`niQ^H-V8u!})Xa8kC9Fr#=_ZsP*wM3@o!m5W0xPEK~p zQzPRl+F{?yc^L!hm`sLE6}HCM3gtn!8woJ6p^;BY{#9M&Q?}|fFNLInxkR9=?@8H6 zRtY9N4ck$6Pi+!gJqFD}r|oH-k{7G-ooNcLksD1I@9?<>KLs3614vbbUt9pZ%j*V^ z6kb!2_YtSZHsbb6``7`uD?7Mi&{?X+S(*aO%5}!Ih$R(AZmXa1E!@d^3j-~V&xU0< zKoqCUdn*D9Zt@YD1@cSHawKe;h2wC&w7vUIjP}%(K}&cT%}`a+qb*B?FMv@eF3y{c z#UEbSUz+W@AyJjw6KZ4*uSD_@;^R=Pd07*Q(354>AR|VSMVgC!y|*~A~^P=<f~PHJ!yNwztP_JYZ3)DH)}%x!Z90 zMOIGATf(wT_keN7VA6i!^otwi}Zkc>5vf=Q&mB^D*w% zk57)}mMU_Ho?#NA6}`Bgykj~}QB=(jHG|&?d$F{`wzUL%*4f!{|5@t&bOGIq>#G?q z)hTwRP$d-|$%$;(FQG#PxKe6O3Me2yxb*P;(>nv1m$QUOCf#oY!`|$icd{slnOrJ7~t7p1e<0$&3zJUcyOp8n_f^KC-;3lBYHCdj7=+?F3;B z7_5+9%viadeXym+hyC~YM--=UQw!*YN2ZZ5;O%j(BX1W50K-mSW4b|eP_k;>e~f8 zlaHxcE%OsS%}$Yk@x!w{D$Xlhi&zeec@sLVGxzD`eN!vi-~K^$CDyuLd+I9z zBeVhsNdBdLnq;8vuq!y!+=Visk^qZ?rxrW??maN9?M($yP2kTT1S&-cUCkoi7M+2z zE7x{cW$3S|L4lQ(W5NEKV-G}LL87l zuNOB>DX3oqu>s?MK@QX{Y1=`OMN5dk`MkqoYCQCjiuP^#??WO5l8V{dH3VvUtN4ne&Uvt!z$=rVAm2f$#NJQ7F4(Pl8#S>rLKLDt zAl%EXzs1(BJ<_7_kQ!84E8_w7KENgHUCC`ymytWTAKJ*h5n^0Ll_)on3=X`bzDNI% z$|xvUKv|1+MF_UtD`4_!Q7JG=UXnP5!}9{;a!R))clD*w;mMu(;fkf_a)T-XwZv@$zs zsRg-W1l6zJ-#F#Hoimgpd%4{5PUrBJn+MmU@d{<@x@~7bUGfox^Rvx6nHTOVs(083 zysJ^3=P7=3d=>SkEXg;HyYbY(90NtJ>~?Q4c6~{2Mwu7#2A&klPH{xA54E}3l*XBE zz2=S2{yA9a47X56*`$y{qVU+t5;vxOENJ}w>@R>y`$QPAT5iUk=`UwOei70h1R74a zME2czysB4R%Dwbv5~q~cUDyrU2@PZl@T1!9K%ukohPtjT9&~G;#Y47JaRK8kxJ-HY zX13HjW)5JB)PXMnF8gcMX)^l#p`~}PJuxx^C4Lt0sOG9cOaY4`F1k9qO25lX%p~)( z&5;PXbpMkTnP9a+=zB3*kNAaaGY`Nt$f_i=F3;Nn_;Il}-xB5}Scp*ftSl+il2-_s zd!-foiI-ct!)U<^uZA=g_+DEG;Z5j5j6Jb<=-1Of&7FVXm%)CFBjj|I zRJYD@YhQ?mPdN|=e*t`;MjF1(9nraH5>72TYd)hgWfp##P{Rh=wwTogi-^YO%m!dn zLyYWmgAE`5B8~M9t?6Hn-&}bH@Nv4dNxAvHZDERTi~p(HHUkW*TtmIWD~Dl4?BE2x7bCIplM$RaxjfPrKJDEXzT=?f;Su|% zMnvSx_zwjIk1N0Zm)CMbmv;PHwcNxcMSrdPCoU~5dG?>InA=0V3x6o)_O-g&@5-&= z;GV+9L)TbC7hE$Y2LN!e@CZ)h5fb2Gp{u!}OVoX>#YRDCkT8S?q@vc3iyx!|iRr-% z?Glq{zzRlr!vyR~HgHd`pvPtS9O4p+w&in@XRqZpHeKa9b8D7XStWSmPm8<(PGMn+ zyq!fCc|#+BjgO5Bz##Uuh8u7Yn-ZN-k_)nl$DtBacs#VBpEt{H2M=oG04v&hZvMX5 z+s>rF`)j^ML69;h%7c8%&o7rMxpRz?FeFVfL7ZLu3?Em!*e2C-ixBaMa>$*fGk2Z# zYKr6=yy$d?O`Ym`h|4o$M|xEo?({Pvd*Vx;@x`&`s)%+px=^pl<`p?mH}jz+ZiQ)V zmn;lXEq4^|sYhn}hOIG`bG6ma>v|%YluF~$2ZlTKP?3o}h}|%@!wexcZrQmsiMHpQ zl0(Tk5w~TKj!qm4+3qcN1aH_qLa7nHVD{5m5VtBA3N9C4~ilbUJA&(U4M zNk(vicCVI-WOKINlGx(iDqXxI7C>gqJdZ77{9cLIB>DbcivUbRhH_#VdK|#p{M^D3B>ygnGqMQZ1LdzW@%9wt~v^MleTB&2xF=v$@OhoqRa@ zoTD9=q_<8NdQs$v7Br>O+HKqbkpMHp*)@9E>L6e}3coo&nv&JTnNeQl3k5rw`XmVt z&bFU}`GtLMVv++wt|ZLn&Do~ka^t?i*(#Mh@<6(#X1AS(mIt~TuO}#mGutiZSfMPc zvKb#nXv?u*pQrg0mz9i&j0ZgB=%!3U&%_D72+K@&G1OKQ^Yo;BEwQ1{>WzpDGw+iK zo6s*sC077Ddims(z&jK@wlZ5iX=H>wn#%cjBjQu8=c?l&R-@8OVy$fuScPm7=Yvzs z!x7k zWTze!)-T_755M>MIpI2fql8?VF829ZI`dW;2z&wc!m3+(GrV~@rZZZ-w~_zC?ZnI| zWe%4D3i6StnsMHq*h)>4&`i5|^kg;2o7g~qar2N%UCIuvpBo}Y>GVEEztx_}UuS-i z)l750!A5ODrc~xuOvQDx>!$?&{oj9cpyY9+!?Td8#%X`G|msZ5ZsR&daH#a>bO}UB+ zqSuqH4VGiuP;ogd*Y29|rssEch{$UPXNK^dc_}T{`hZYd$xW~j);lVsYB7A*E7ik? zv{sSh6sUkFe>y4}5q3sEz^Ww^xp$gVA5PwiWDCFDuu3CLNReS7` zX5PliwzhN{Zb~w0>JvsU*lqZO z&U-P0FP|Ia9_&BBKe-`0yCB9Of4MqlC@5S`6`c{kpj6Pkl|`d+L;kjl)m`1ABV+87 z8~ltdx+vBP^JWlY@Ia+r*eY=)?qck$IqjQ7`2fR)lbgn6?4WRAqoX|5G-B15387if z!y@H~ov;&-zrvQX7ZcY}YyE)mw?9VWHX1TeV2DY;_6QdC{!PvI8`&9MF`ka;3Z?Cz zfL=NbGM-!Fv$G{F^vN$P25~QR7>P% zKn+rbd3wZa^eQzPs?xke_nl?+w{;L<30rh&Mfr34Ei%$zu&Uk8b>5E0n$UKB6*)v= zX}M!aVn}hkn)JM;&s2aKIU{Yu=Y+gd?6fauo>9dO#cr!0P$G(jl zLxAK!aUTW=dWB1Y*`wtrskZZb7U#s4Oi8L|98&4ZFC$ZZNqdnNK1H(AYjQ#Svo6_h zW8WmuCCxHP8+vB++kvk@O1M=Msg^A6?(E|-(n5&~#ftW*#Wwe1W^Za+?m{#?iQOOq z)=IjAbf|h2C}n2*>YPHvJL<>&B}$(@Sg_JGuXISe>D%nFXj_~kALJUd6-o0aKoBsp~Pro52a$m{q zSUzJ;#PV19{4OLIq57$igkqM};yK?S`%};fz+WNv;bQ~U#Hz)PZ2#pXevk1Z0;A^- z8_7bhCsJG@qTqsTT~&%y((Ul(8YB5clD|UBmW$Bz+tT8jCU^CRW6~d{YtiY)1vE3h?$CdeVDnxB%o+;4+ zI7+qIoZD>uBH!f|4BjigX{YI>bC$Q+&WQpqi<|}b#_j$Pi)V@P-B`MXeY8ScRPY{` z&A#X235XA5<2tisA)Xd#WV}#1JcN%_cyXphK$f(&g-Jq8EDdD?_l3?^LD2?<;mn>; zs@r*CRLfw~KA+*f{7mCngIZhx>k2`j4F`LHV=oT;akvA&>uJ8nz{~S6|7yA?=Cont zFLKa(tg$A;tgP9rNgw=Aq?h#MhQ*`9)-gL~0?La8a0a{24nWrzgxTSH`_tS>e&-u61Ma+O`-pW+|Lz-3WMAs zhAIp(sP8mr$H`HVLV@=sIE_e~6)N65*4hq-Pw8hw&VkDH`n;%G`(&FjXbV2_r%&~@ zW$rW;>ut(4>9PP0q{QZJYjNkNBBQNoL}HGhO+F&pHaSh)x{bb;qB_<+f{GRn6eLo5 zZj#Q5k&*O-E{O?qavbyIx&FZT9t%R-Sr4uv69YvXcmk83HZO80M?A43Mt7HI^^~3< zC>b=zmKrIUdfSa5`^?A#cD7;px6EMaC<}WlMRikai^up}>JdT7AP#1C5$E&ex6KGP zCpiQS?N~wa{RuG$Lv+0_M|>ULL8di1kGlu=tcnhKy@7Iktoi880>T$lihXjnu8{4> zC?+qh6)KApcO?fWyA8gP%QXVk)f+@0!x4zETOMhWjQXLD&@;XZc{zhEa_+G$z2{jg zlrmgOiP?u|EOx))#;n zS9Wu${>;rU6Nj>)O;e=LUx>%AkWXZNLF}BI)e;*9 z@Lz{E?eCN*^G{6PUlY7}@LQeuvryoh&-e@cu6j;4;Tgs~vV|nGZ`cx{L%wTmU3B@Q z>V5p?rVO8XXuy_`IL{u3KH2OMP7Q5P7u7wgPGu+gQt9mdFq zV@mj<0r zN~*;7&}x^If(sb(gpr!Nft!$IOiN3tKm|;>B5d`MdYo9nvl<=go$4Bh7CI-ysdj7U zABK56YU|{aKQ)P8#YE@lV)Az)V2;$u`TZ7o@Nvl8bZoX)zgz3?H-B^)e{E$$m4E>} z>*RkSQ9nw2CNYGmZJPDP-;#NW9Y3qT;adu@fqqd3$`~XsKHT{Pe1Y9OOSvT|ehHXV zQVcVHn?v2K+_riKk&ua85@(7b?09ZqgMQv1OSWDjS5mLxp~Sl+)T;WNbWOUkZl4rO zYI!;SQVw0Mue2JTt{#pT=D9+GOY}1hcH@n7fm!Mh(Lpgm=|CqQ&^#EQNLae_vvkI` zv_F3(iRTwUlbmJaZiQku9qEX`TUBWgEM&<+I`L3l&W@F@$$~Fop569hg3Y4(<>Fd6 zutlk6-M>^hDL#IT_09`NlWCvj0CjK()l+7IwK%|AsB1YdB5Y`gIo!0kpI$j8Qa;ZU z|K$WeIfGw7t^ZHsh`%A>SN)#%{FD^5QU9u>e<9#kUovh4CW^+1 zJ-mkxvYxT-r0Qo?wJj0sqmzhAuWiN)0eo8cNzp^jagx$Cz4Lc=Uz-?n^ z)Lk*;RjkyZ?^uFB_BqASE$`z+((VQ4H5rf1`BPH}wwxRCFk)`WRgE`QEtML+;V8K* zQm?Jnq-X(0TsLpH%2z#`g>*rGHDSVKM8hhr!z5xvcJa3R6JZ<9e7SYiS*m@yKd?l61c`V^QZys)kD`Cuc<)V^cj% zJojwGfyAXI9s+BWy6;61z`#frxxJQb@n^1n*d+3dN!7J;!zYaT*wxdbb>B~Cm5&1OkDixy?$~NBaWA7~ z?Pm{6KXvmBGqxH@&oBQchk=I4p~?Fb9~XrNRbpG(i@ zS$ODkf-nzfZrm!)%k&TmkIr!DWcamwsNqsyQnfriJch5Kk%1#OiK#jAHV@FPppr8Y zrPHP&z11sK)=c;EKB$Qo{n~!QbyRa$HSfrbpb+Zo8~E~h2*cIA4K_J}0gs&1X=cKB z?82<4Cg7n=u0=F@?O=l?Nj~4~O#Kl-lOuT>jg`d2v6aIpuxBEoTxu`8fD<{Qc224X z5fkhC1#oJSz*JH_$(k$Gq#87r6N-Q|mD06TML8<_v`e&U$mhgbDb3nv)?{*e!-AqC zLK8VyBW-m|!G&VU37jR40rdQQ-BCO%!n!vj8_1nD$ooY+XmX{46TFE>KTL0np zPSv081D$NfXyb9#n*Y5x4IT4-RfOY+^B)9$XnU8#;XnA(eIWXu?P)O)Lp-a`egUxm z{2AxJd;5#*HFX?j!-d5S6SC8Do>YHktMC$uIpp`C)_4G7u@-$4cn+y(YXuF6oh{)V9Sj^{j;^(p+=r(O10e^CGj~9NV#*hM-WFAXmPFM^0oPj6x+mlrPOXj z|6rS4bz)v09*KLRgr;7hEw@c9)C{?Y=!rCq&6AZ#7{sDF*ce=EQIbO#vn3BXtr|-5 ztB0#5WcyntAd_!$5DhvnaNZmEm zt*6-t5eppz7Xo!8Z$YJyh$X$g2VRUVtE|wFu=v^@_)Tu_FMxgGcG{s!?q2}8<{>67 z3pt!hE#lnI@pcTLbPk?zTte|${AO8T#hy)A2=9_~w7-owmS|BIy&TMwcQ$@42UvWa z#k%kI$Q)P8xlFsv_{(NG=pCZnHd3+0-Mc-~hv?4$t(^eyX(B0iAk3f_oXeb+u( zRL>IOksh3aN=-o`hla&Ug`881=GYCEI6GhwT)tq<#0iDmnwOl)1^kN;!Pq>G7hqZI zDi&wh*KKpzqYd;MbAY=1-r^A#*@cHa#shY<&xx8uz5r;O{03b=>t<`qzo@4&JEzAw z?}a;0QAg2Iu_xr0z*`v?J9H}Df$g?B0Gq-!+*E3o!S^(Q&T9y}e1kK9K~obdv@qu> z?!FtjTD^3&g8~5hw$&5Y;MDDOABaxfQ6-@gNl7 z3bKuh>Z6T?MHnrONrjMd*-*{yW@3tFP3<%G=PxhNKFXQ#H9t}%+t*V>*tcvnnx_MB zd`C*a@Ho{9M=z?+Id@cPeP}Ar-UfOOI6^fN;!^SvZSMs)B1`kmB+qt!d=dA=P9cni zn?-)G(P#R~6(3W_0c)%|n|T&B+Q9~E)jh^h2yc1~6!oRAL}fW@qUwdZNGO=oG%m9GdjE@o3)t57YQ!aBO6 zwiBJd4mr?P{lMzH9J*o*oJe-wsY0a;*$W?*HwjlEUYG}W%d)?{9OY2M=rqA#%YX*J zvo6gza`Rp`*1+B$ap)}F`gOtrBwVQhLCh%gkgah@N0ha;@kxoU`H@tFz98 z=h@-|Lr_gZutq?OHp8=d_9=wTarVEI zV`ysVuk2Y4{1IXB6~FxNQ&OA2}-^vkaW3Kq|j4- zm*D~+HITv|wWLSC9Tlg+!+z1sZ&Yx^N+ERwi-la7@fDmu)CPB%gCU^4EfBDxY>POn zRFgckDX=>!kuoez!bSp44Y>fIi*zyTd|8yKlU`7wvO^zr0UHt?uJW`USZt(w(Njw` zz_0lNK&rPTZ<=_{oab@TZg0BA>Kp?AP{)R&P$%T%%{Q9g3qy7stK(_XGqbrI3DyX}7yIjCQDqmPhTnw?|_eaIBx%uo@Av17CClTUU6f2-UXWGf{F@!1ofQrTe`lNox z8@Z93iUoJQk9UnMpf=MA{m3$Qk(y-&{2vB1xXLI5CVG4Utegm2aqbAZB+b1zevb2Y zo1?%iv&h&HN5zA`l;{%$UrtVvXwpa`0H4p7-=p>aqq_WH5SA>UCO3JyD&lC$#IFC5 zjs_u_3v<7<2aI%jprw%q%EibJk<;HS+RRozLEQw0sT<(H!;be?nt4Vvd|P?qpyEPy zCO>kNXuT8p8$Dow^V@`^@B{5{^t8qOXml902Yix$)vJPFFpsfZR6t@|&=|x-O9)DY z42?>(^1a=tJP*>>^a7VJF(IDF7(dyOy?`Y43&_3oL_n>!wJPuwXvQuEbgQ=jXsH+z zo2Y8{5u{u_W#&tj4}Nhb@GT3u<$$|y%U$LWs@)C_j)Ok<9RM9#J0#1>l-%RCN^#=% z;fODQ8D{_#sE0}wm;Hj$J+z{vB|k0(IEjg=JRJiTJCf_qe^WBA z!Z|%Ro0eR%_*x?*Z2uC+7eEjSa2kO{`$pS=B1dYRs?3vXv8Wf;d#q^`vp5Qv87_K? z6&>_k29SoR@&;d(33n9%K94Gg+!T);0u1vZj{R4A8q-yUxIWdn=M;CmP1J4=?Z}aL zAp{OOxBS5?5or%#9b5JjJ@}XjwaGziT)pYDdD@GAR0EQVb&_Mj=KjBHDRzVT=}e!J zBLE^5{9_(0Ck;@L$fL6U)o}s8*hDJo5q_n3s)SIo%mihy&m zPCJr`IYY`4fh6$Mmb{6iIK`TnIoMF%K0#7_=p6vJsLC^vmP+8n*izhP6aeciI*!}a z=4y7Q35b}01whCL0K_;Hcq8{Cs9OSn$w{;W%B2fu0N7}MgC0gTG`3WrpZZlXaM%HW z7V|j^jYO03L!(Zfo!9}unLaeg*uiAQJ)QRGm;-|O{Ki!%SRW@9}|u|TRH%^y$i4+ z9AC_^CftO=$GgMmV)8MtDji5#5MA;!pzk^zx2`X;^bACjn(w&xMWVl62A=*37v>b5@3Ju7%rHnz;w4px;@K(e>fTl@`{=umX;-b?;H^gv)B}!DJ*?JC# z#218ZKH=^~>=XNO%m$N&1*n!?xqAkfhEz;A3+f9NK5uKmp!RlSk7P{1n#gDFyyDhH zM@y%BJP+_N4dESH-j;Qhcp0&Yh%s^DiqV8IY)pfzi<3`T2~=~G(KB0ec#&RqW5yBF z+yx}sdgrOHwL1$~^wbR-=~xhB8tMx`r1l7eIdxs-N?MAUbLZP2OmnOFlwSBmI7c~i zaj6&ht(NI|4{=Om2!M*o=y7`6RZ>6r17fFDd7L?;d%W{1d%8={*neoiG>ryWXpEKP z#-m4!YSXS2-p{U~$29zbTy$B*|Cp3(17)yCYzN6cu98t+MS5n&XQ6XG^_@rZ>pO%l z7%G4u`G&3PeI)Bn-fi;OK}s}xUJOPjJulw%Y}v9DCTpa^c}zsLrbrb!;%J|omAM;} zg=6)Ow@m78`6Qma{q%JDe!z?>Gj0as7XS}Xhm2zJ$jc(_l0alW(5Au}We+#JR7DIv z?#&VSvK;&2>{S@%zw}Iort85J4eULn&qMwh|7OWqi<{ELk8s)n@w?`=nrru|YN2A{ z@GJ`Y*uDwR<77%uV>=^RLznxx||wo==P( zBup$`AO9P@PkALxRPt1Iy)V1>d(|LGQzg4*ac@rRAn-d{ILiW+&N^3;rX7sxe<%8k zU?j_%TH}_CPgsf8pA5U6aZcBq?lX2C$sQAU)>Z2JJ3*EFjw&{9c<=gU`apgz`G zel)!Ddqpb$4xIiwrJ0M~rI2;cCu?`dey72~j^Ba*N~v_$y4n9fn@KkfZ>#$ajYAuW8cYOoI=EcRW%nnjcMuiY2fZlISX<@`5*lA+CApyrst1f zTDcxv4@AEJwu9)l4fc)|h&Y=6{>R2hrtcj1&Td>Q|H(>M<15QY;vWx^yw#|Uez9)m zh(Om#w{8CuW;{EpcAhBM{+xDjv+K!mH=DRt!|a!0cHi;)mCeUf!_dB3^XS>4<#9jJ zj=8)2E_9FoWCcZ@yG~S<_fqz6 zd(J8S`bR1`3kWEDXMqXMx2)_C~Sln;bBpQpx`r0A; zKgZw1+$r&jYtLzDfxuOC`d2ifYGs$+Mtr8q$yMgXzkuo_AF@cUS&`Ze%eK)tBTg3@ zZ&eBxx6Odc&o1vo8x{74Vbg5{(J`q~SjZ@ZJQIr{P{NMkqWr0A!CG%u9QIF)Q>jpTG# zE5D)}(@1`6PLf=eYnJ3dm6+7p;P8>f z`@+6M4jrl7J-bT_Fg4R#qGS-CvmnT(K9w?OdXG#3(;_ki#B=tws_^FXBo4jLTUL$_ zTS25^W@H3HSHA55ta3jbM1nYxJ#&+DB6sCxo{L#2#DpA*P?Pro)CbACBog#W&h(V) zP*gdrfaUZ-!Y)lXjhDoRND*VEt>Q9K1orsCrB z{GzlNBAsrFwxiphK%{*5v&si>8>iUwZkV|u~I9kad-|HdF-rs|IR-($>G z4$?ge{v_ZsI?Rrw+R$;3C+8Ksn_tZHH^i7r@yb#S{!Svq6PC zh}V&&xfMzE_+k4-CDLKgGx?I4>^XUUO_Ikc0^U4F!Kk()~$+u-AEqD+M zXW?P7Na6j*C^9Ynr&9WF5%_c6Ao8a90(k%6C_#ScLLm(-L@(1Us?7|p3K54bi_1q` zOlxr|Idl1@TD`uYx&5G{dV1pgvG}tQ%roz4eA{-k4||$#2rK=@cr*Je@qSo{nhWy*pP+FJJ!>y5bjJc? z;4u#6+~EmOdvMG}_1C=DgX>L~83$#y#Yt9bF?}#}UE4bW+Tp-bA6KvY9^a?D?`+=n zB}c}=pU_Uac`4If`F&5%*}Me|e=v4^Y~cjx31!T4{Zg;Pr_)m1RXUFQV4Q^A!xNx* zCzQ#wX2YLS{+F@y;`y-Xb8MYO+$iIX9I3(MWoW-dfz6^vI@j$fCoI-0Te5v*^Y8?5 z;o*vLbUNPO!(HuPnREYKg7`RDeV9i*T#ue!@qwp&^;N4AJqV@n6n~$Un$p2AKtuC{XwdF5(2XC&2 zerv;g*7n*~r|*jk8{iwJ(q3$Qwx2b7(!Q3FgV+6Y0bF_xN9?blQ`C^>cGUShrv#tl z`z>rbbY={1N1bp~=v7`5$&p=iHkZtLs6$uaoAOg{U!I-TuNVK;s{M;G<^Oxx8Sgyz zvszrIeXjKihfUh5qiHh)b8(w#i+{8K ztGA>9klarJDI(>@b8#CvLF2ZcV;0LYqn&J;GNV1^MG7IqB4D8z*Bl7hT)Mw8upmLn5E`V$h!yXA+|;fH zPA%qb%S}bNk&n2+IFa#MahvxByUvArIIB2Pd-OJxU#Kh?W$PGGMP;taiSN)&QnPi6 zp^H9~Yt|+S373kQj^f$zr8W`*4A*Oj}v~%y5+`(YJoFjq}0&h>rj)2&4rHAh zu%7YvePB$mxLZq!yKxouVsko?*^ye9@@mL91Bq&$<|5LI+m>%n(_|*IL_qlh^ll2s zXkwNXW&(HB%~Uc8*W(?Op37Wv%GkTK6Uk{k6%j53bGA0^pvp6SWbYUcUI1tA7N*wX zlz=sY;$P~6f8nz!;C+EA^vbeWmOgCZ-Q=9#J$zG;2Oy?p<@S$dOq^#7anvzmx|$S` zf0IA81G&e&cl$G5Ym3@UeGolEzJY;qYIi?<;&7?D%ZS%aZriokaZjzXGX;D~9Z-UB zzRMkm2wV0ncAGZ-pjq5oU(bZgF>lm=0SL^>Z%rQNzY{oq61HlZB1QescL;>a1BkiJJ74KM8A0{^NZ6Z%JdApb& zp85sAY@2>eUrXpSo}G6S52}*UFSt?Y7u?&1Tkh|BZ_00Q5bdg=>I>?mVC^}PA0inr zL|y}JVTq(|y9-}QnlEF%TM}q7455Zin&=;2!~cc2_#c%6!>yS2(EXA_6q<3>s5&py zZ9%7mt;i)%;W49IC&E&4hLw^G|CUVpE##H=7Qj=BI0U zkC^f3BhMEAp}@WXHOHNhh=d9KcEU!BB}KV zRTjJMgQc=Qu6J5 z*O@;Ky`PX5dOs`eJt41i=XmzU*RJZt4V;5YM%q1}Zd0AHU+ed=%r=Wa8T?^Z;eH_% z7{BOg3CwJmscA1I=ao>?;iO|`!%R(7-mPa4fjp&!nN1Hf_4s1(T@_U^%zFGV6rDSf$95Eu+i15?tF-Tt zH}e80vM{PPNiZUf{-8HPT=r^@!KL;#zGO$6*az+iIC9Lg&~fX;ZiEVJ1%)HS<4Lq?#<@5% zJr(%9#P$44kwxJ7y%1v$mUG;vq~WmqE6MEnW>H-f1WpcwZY~B1CQCl$w5;tpF^QqO zf+UgBJcJ-Fh>93#_X8nkIKs|Ja9&b9HQw1^TT7lEDgQyLDJMxQ#Ai3)=uimuk^VW4 z^%{XSn?>@Ra@cDrixj;JQ+ zF?9)FuK z69b;2-GmaI9-FdY#_eSEH)AxBLz7}rrO>Y_n9@D&v5QpDE&B|%o(n3~1kg$FD`4a9^Itez9i`ix=iC>HL z`4n?<7VRy8GHr`}gx(&9R;ppi+B*p(b{h4@<9;(IcENvKBDR!!r`YLD|cXIP0t z_!3}oudF4a?acJTMGF;U`Vw+-{L^|nOq2Q|;sqsk1_(?t18P=|OKkRPx z*`vF$G~<}x=rPSXc*Dx&s=en}hHOIR{BJc)pLmF48mXD95*kZ~xCVh$J@-n*9M>DZ zHS<9OgCZFl)UZ>N;0G108P(X|nrM6_D?tP!E#MAHnZ)W4EommZw8SI zm47Pm<~ZGwec^V^UU8m@FlS2Y>ORpPUM5Bqn1vuBvSiVC2%$d_Abh$1K0)~(758xA z&+}v-#=Zdb&SF#tZ?Tg|$|x@J@i~M1ZRVZc!yM6-ChK?j&De;AuVRq@2QdiF`M)7i zKd9J=UIgPF7#_uc0q`N_-Z7|~G3jOMGhH_Ijoybj3^06CZc}c(hP^!&i}*h?J!;<= zc&|ik*xHop1sOXu;6_*}>qr(lx19V#{(eFYY~i>Y zSStO=Bp!29_bQ3}>8U3o!)`%e6W7mQ{)~EuPCYsv)0Dyye(&ico4A(I*Dpme^J*`S z`)6DwM5pIqV4sYksy)V;IGX#x$Hp*^vVA3;rt8ApJCk>Qg0IZ&lpzLx%35!$!dIuh zrnDWi2A!IHOyg}~HA>^JCx(v^nG$>!JG_zAU3Mh7LXA%RR(cWhM*C|b>+u*q&tsbC zj}alAb=V2b33+FkvRZxNL4A1>OGNRiDeiTFzQvlB2ri@^p)A@hwy#-iYTe2wJ zxD(u+Zrm-n2Wbe>xCeI#n&1h+-95NlfZ&z{cXtRRxCMes2zd?3Irq%Wnfd?wzPb0! zy!$#|b~?S*s#R6HR#ok_t7?gj@j!FX?sqp`|iu{Qfq4gX~ zk=PLi0+#zo}ivzJq4=El^ahboThY+irai2n;WN6Ndi9Rg0 zZpgYTeJuVo>cPIfS*3XfGVOa`LLsF=V+-AHd;kdwfM3{)zp@k$?&LCj`u`qV5lcgV zj`hyM@3o}+Pg{ubMjlN~5&IG6k&2lg_Ht~N)E2?Sljr1^v~hQ)Gv==tg8Z-SZTvSF z5tX#>J+ymyw;dd<(lIrGZo~?>CGujNE7Csz{U?#X{5(Pal!q_BzF+nDQ(xkLidz!f zjCRo@IxZGc_0v)PP(vcCOQWmW&B>)HyD2n!hESCn{L@2Yo?d~=IzHF^0nm22e*B>2 zrpYJm9bR;RH|D#)&N>7wGgs-{$&wR0^?R47 zW-9(>zO}?W&7<&u*jN^+?vD3#_>U`C3vC`zhgQd_jXcknw(N01dC&*$5GMOhMMo#; zWJ!wCkgXdX(5)6m2a(r>Kwl&|bJ3|gwlZgsRD5lIZvyO6(SmoFt~}wLGU(fcR_v`w%-ZN8SWwh*(7^D{E7rr7o39_ zD46$0WpZU*hD5Zd7Q(%6Nf2AfT6o!oY5SH$$E{&%vb=(gXN|6wm0p06&YFu$OkPpc zgIb<4AAZ0tqmC)P3K2}Nn%vnWyu-yFmO^kB8mQ~{$(@KAaGLxIf|rFSt%?ZdX%fj>!OrL~l_)PLVMpqE!B3Y{;8&HAFA!Laq;Ajmz9I5OWlBY!jEl+nB~E zNM3*wGRYYi<1ePnzp+g|&TiIADx`%Y)rGu8`IXINyr8?01v(UEG^58Ab33`lSo|Q= zi%w9HQ;S1e$$JwwOCaKYRL9GYIOmFVMEM_#1x`|+E!^49q}$?Akz5t#IZ{mG5dyYG z?pj9f`!U>2)L|T^NB{)@Z7~(R?{_~0C5Z9A60{aakgwFhk{`5A3(|Ln99bw%?*gnx zX+V%X{Vj$MM<$tJK-Dp!T*LkdOl;=x5Q7-73 zL;w8}@n6W0JJ3Ku%b3oi9{`dcfL-2uIzusQ4kSOQRysp9HMCB7f~#o&dGFwNG&)q^ z-z<_|fgom!KjBqCCtk{*EKFQ<$djs{tDX2>hy(B0tH>$;Cvs`b(M|U+1G-*DkSLPFLF7@x`-#|sjMC6``n$J{g(U&!UMqlXv6eh{0Zj1~2F}&&O2_4Po zDn2*h-+o0m%S-bv;A+U~UK0^oeHK{Ttm0=b)Q-!^*Jdcbw7Lw=C0O-SBM6F%Q)16v z)Dq*LO@M~tYl4^W@>Us49eYpJ!pYY&x#tE(MqYq0>n)t;pSizGPZU=QqGF2A=wrfgGXv#i%N1L91@O zO8Y~~YNeGmzX68%4~p=zq4_tvHm~PXGK(|}euoVAJGzYGY^0%6(?V}KMU+aLe}|0t zJGzh;`VVnSO8ScZ&)~7|NWDW3V5K`XA(tOng_xN=2410mr+J~@*JTr1&>>Hp zS~H97Q-egR!1108<%q%(d)TF}#y$npS`=C}NEUloZRBrEwI?0X8~uNEWBs2~&2@Rg zLA24A3T^R`zSw3G(T^CC*!-S{60h(fKnE!zIO}{?C-lzk%}n z`zoKa8GV$+Ge=QqV5mo5H2Q*jLqEbc++o~p{M4}h&))rb)BZ`A7u%8M zuRtzS?-M^1qVeMhAD5dTz7!UnUwJ;9WVfW?BZzcD$0vq(TwI(fF0$uEQqOnOi3TSh za?os7ze}W!yeatsa5^cfD%JF7=+jyX@TI}g4E9%-B+cAt_cKD=52e(JpK?;1duS?Nt{YZNp_ew=tsUhLyF zV!Wl?v@0=n;pp7X?&oJIXH~84B=!Zzrc_h$Gcpgi8b2~8RT>f$!M%!&aVi3}FefOW zGp;fu@X2w}vayG`T+6Zv%rAy(e_iE7OSL6iMGi$B73ta0ho;b2{v3<{0($hz7-*8? zZyT`Jc~D%3VBd~9HEXfnr4u~_Zw z5>Hlq&n$dFTHp*#^~qdwt<-ILt_k>WngFdLFmZJh4)l;wzeh0#Iqtj76-Hy$=2Zyf z7oTu){_tKU=6NQZc=Lh|m*!XK2^ipJ|0G)SFnd(D4q7p(vR0m7?7{xM$cN4Pz?PY?omqd$-pE%JZn2`Iu^gFH}2eiiE&#aoFVFwEqUD0YBE#B^-MocE;8$mqLkHas|HYip|9EjS1R_Jqidp|ty%X~9wwV5D z>R`z1Ezy$RHobl-vnt`9*63MK#xG3*%=vbsyij(;NoJ4_7Y&mwVKxMtag)C<5!*%0 zmg)>)v`NPMje+01p{x9bU7FAy)|zWM9VzSu9=|0_WC zY^~xbc4jK|kGxvt5@nBglOc{n<7bR^Zh1AuSReKBR%|TBs0nN{WFxX>iW45Q%geDq zqMFcSUn0*vWrBsw7jStE&x-WY06i9$5nK&64hi7P&rxD@l&(MlD?|(pO|cv^DpcnC z;oly~zY?oUc=wg^CO5?crPc*n%@)~jogJ+_IOw&fh2@(}quK3YJsavrcin)w2GL@Sxu);jcnrb-|2z{gVNoIk+O> zf}E#fBO_AZof#Ef1-1T0k#nT)8urTzeuXMlBHNBU9x&1eO z4qQIe0owa_@{E2rT8n5bJ#3)-^h(8oSl{UpzjDT&ZT1zSZ_>9kDH2aV@gUo!zA)^( zB=<|Mnfm_pE?n$a>3}~E7n5q$Qu!@hY?EDn_yU9SzG}azwSRX)|Bhc(B*S}&0hRE; zXlrb&y_0lZOIp%y?-u5cz8EAzQaP($1uH4}9^IL|R7QDE#UX548H~Q-c=Gs3*dCeP z9fBFgOs*!Uh^vaI ztj;-MTlqT$--VTFZLVPxC-jcUn95*(_6J@)K&Az5GlcY|QcW#J#>vG;$$^wCY}ms& zlZl;f-am&`9(~X;hQfi`y^j+^2|X!GjJ{?a*P-B)tJM_Ps2|2S8ZtOO!~*Q+LRBzU zeE(2-A~DMPHFzz}`f}(hL|uSI_T8&M1}RB1wnB4g;WLs=#U%@QYZ=SI?q2@s7us?M z*nP^q-Bl^h7NAW=6ecO?;USOZ;*5I0%F)RwJ*!21_>;Ky$-wR(fG%4wBseAj8-(&( z#zb8PC}zbF$n%y?bSE}V&xW%(f-E=GP%++|4q|1)hl0%jZh|NP8A8rN zqluV~AzGWv+nir1g9Jd@NK`npAsMYj0!8W2(@}kmQr=|ucKQ;;sq4Rai9^bPZ0|tF zlVrBmXIGdj295|(Gh7s#Isw2O-4bBquY0i3QNTE+HY??9CJani@f;B+7ab5!^y8b< zYJVj4=NiI;hIVyUOHMc?S%sT-(rl$NL7&Zx#RY06Iu_W>9(+~4)S@++Sbg4mMXmpH z803C%A&m1|wu92aBv#@Gi7RLLl8UQ@h(p=&U-Eb@2Op+_Z&+WLsc5}f0Up3&(>c_a9 zkvO92LgfMWl%O;nnsUu>{Qt<>sI#RP7Cv%Q(Qbh5*)pVN1&2y8k9`%)SqhbE+-=9GiW+aw`tKDhS^zV4|lRN)2dGxm$$Orv2F{)h7Bnw;Z zw%R+Th=2O0GHOOUFZ%|%RU_ahvB+SIM{kl}Pemv(WhqMT5OpZsvgw(x9$kAc+f{JC z`~&Z=;lx7dpbK+k%~?i4E432&B&O$mL+!@$&|^>zYm#pjvQl~x1=$n#;VxYw35EDt z+Z7}*u|GlI&N8b1g?@aNnEK33D|Z9BRjx7o2l!!6P;`=?X|U-*x3B+F*8=WFK>o!C z@Z2fNKhj{--2yUKhDZ^J3Ko4gxw0hrso%7qM|^jEFMWrL-MzpYPeIyT%<{Js!{FRm zmwSQ!%S8{v%yqC^FbI8%Q1(+-px$c?y!Zdk14s=$!Fi@6c!gcUMlt~T8g`q3NjY?!QKA#c>!$0t_ zRN^nX0W!=P25IyIw)I)X@Q-7RQdC~-yZ#z2aV%VaH#?}uZH(-X^_$uX*POy|Aj z_%%5$6n;Kl={@B8;aw^yJ*sUGRE>KC819CfFu<=dESDfK-9yH^8_tOb>y+TA?q6kJ z$n`KJmtMXE_cig6b0p7wxgEUPJ%Jtaj6aSM1UENVoy2J~l(BKFUG6GJgV^`CuaTbt68YEto z(K-ts7`J+j{L3cc2>*idYEY4S@1eU|qZ8o^ah-;WQ$r_nhjGA%nM0fCKl(eeNhwk@ z4*NwqVMG>9k~`)uws_}NEKgJX!KimdvvLHNf1HQLDNZ7L4u4EIKwj|S zoVf;lY*+pN1PgC3nzHAEbFjj&_pDC#j~0^lH%xy+-JdT@(XBo7nPY-m%R=5CB`J2J z5bG?xg>i{O*Z6@>OU>&Kq{@YYE7C0u1A-sFsP6p1Gm-lZ#|OV5{gW<(#SL=|~yp+cI&F^G(hH=v~EZmL6=mg$$R#!g3h1f2?aPk>?0B{?zW_PH+C z`siQf!Y@P`}1*V)kgDigl&VJ8@7ox;JmGvLpAiCbVLU-s^JqC^*koexGy!T8Z zgtI(y)hLF1)(_ZkqN^W#kinwHPD33W+3E})b4lW|WE{*WV$atH(5xE157|y3I_n18 zmuiJY+VH)`Doj!-+yv&Q_i%ToG~V*uc;`R-@X1c6pI;4y35@JB@77(R#EEVX%CLZ* zHb#;tJgMAc`UY@J+jq-A&;?DwZW2NviOu=En6N(8%TvQ-xBS-LKK5~#RY9ho;(G2i zB0uPr6BiGBVW^~lQ?+x1TC8&8qQwACK`cFf?TAGj4fKg^D`RVo92rsg)-N0h8PF6e z1Y>rIT)#@_-Uox3R;zYzBXc*RFbH1{e%k^A{ls5&)s6Cz16O>zn7ni@m%68^g1JhVPJYwT4UexaFjZBHjOJLJBj{~KZh+8LsO z9g3>^GU+$VVSg9IeeH8!$4AybfxjP$(oC6i|5VnaC3hCY)ht_nU(j6aVQ%fO77JNs zMLVIV8f(n?o}G8|+!tJ@Odr?%)xu4iSjb1-$aIuAA!2+-(tQ>6=;7^(UoFJ31Cykf zOZd<45WJfw;J(WH=BZ}t&ldE)4eQ8Y2h`R(UYJ7X>9{Z5e&Wfj__GD^C%FiQcL;Lc z&2wLm{i=;n4-HtsPs&*D#G+IpiPd+cpB~zn$))DH-h-%)xVbe}@LjtlEC(Ssq5M!U}vtMHf{KN}ueS^5CP9Tf@2Kf?- zd-YVU95f6em`x$#A5j-_cMs=o^0rEA1BC4b@lGCD#PL^kBUD~5n-EByeso;I!@ z>Igjkd#=>~y9K{_!2dkA)PP1-N3uDhAap7}D{U*+Z~dw#gA{ngnR7`J3-) zLehgoNCd5Dgtu9jWM0We2kf+(w(qC8PeF{mOF^{x-I>`>N(Q;t(&%_cQa(S@lppr0Bm>Fr)fV^{z>*w6x)$H;g5N9 z2QwE}XLDnFROmNH6B|@c9x4v1yD!4RRP2)0t}ZI(&Qgx{PaPf19bBpSso13)?Hrxe zo*J8)Q?W~%yIY%@Lu4g?{jBb6?BHVI=xh&#!7gKM=W6Z@?IfixZEosl2JJ6rZf$Ah zO2x^+Ma8b>X5#Ac6#ApQy|E?qANBkHXe*o8n47v%v1?hIxmr>2@Cs0|E1El4LceqJ z@Nn=_iHf5BLioqrk52$Bu#CJ600ssCfPwx2{8$7?0TAI45E0-J5fKoPkPwkku~1P_ zP*CwOAE09q;t>%M;t>##kb@{mNNLFk2&h=7XzAz~nHY&FSvgo4I6w@H40k|akdTm2 zkx_9`QE?ea2uK+I)1M#j0ocd@3z$JT7#aX9HVhm#%#SVr82|ta2Lt^8e*ZwRMS_Ec zL58+UVgX>_VPRn5;o;zs5E0>^M8U$rBLJ`waj21SIVJFDRE_buoE}QLy(FOJ=7q$* zH8IVpuKhp=lydeTkIVfEg+Y7=9s2nl{x2ZVCQfVs3>*v`IxH*{hx>LIC}z~05?|qP zRE?cp#^zLi82=27w4($kw4TlX71M~ooO5$=AY9k6Y-&b>li)V2% ztM1FqG%LMd{_d>cjnnNu^E8pIsj$pbIyI_Qr31AMNtJY^p{Tg$5OZ)Eyv~ z44Q!j=|ihDJA)A|Cg|ygFg;&$%U&(yPIwdI<6}w(m27l;W^l`?+@>Nw^l2zRAq~`# zOO+)qGD(KM1F5bnhR=spHX58eKVLS=%X}}K(%J}l#Qr%bpDz*EN(@cynJg2`ONTsK zZ?#b5V|nZ*>{jJHl{aiSAufnI`)tiCBr}j62~k-c3V!^mhnm(~SZVH>P;+eBI%OF)GZ}oLE-1coGDwoC z5|0)&J1gV1$WR{^m(U0an!&5=-(4|XnTkZW-dujn{vd7Z!#e{(pIlJLgpvI;qtqso zvh0DK7j&~J<=NnsfN&m{Lns|vg{x8|st{|U?Lw#bVaXTN*h2sgEC)^gjv`52DV zU#6FoCe>#oVU8-(l7Cos(_^4xICWIdUzZSMDf09q4K6+dBf57#t?kNi`_?3X#Jk}q z#Wxvtkr;UO{*KE{5rqZ~>Y1G{Qg@RZv*02vaUS&0bwV*BJHXCZINt&C1V*A89}V;k_{2No@ROBBdnnoUE#e9B%oI#t=28+O|_PtSw^zTIs2j_^H~!@r`lsZS1{>ufV;#}wNLNe#rWP;tp+jbD3)v?jvchdWn67yTe4R1YO{dC<~$B& zOtbAak80{TAVuH3y_vgm{!y)ZLP19YUsZv-vgS96+k7jtTfbE5`C90MWFf4)C zCkWdQz#F)*%9c;{_`K1N^#^ts&DVQ9ibwG)iXI#kyS{w4mHg$Y>*SGx+*!xoO0|g) z*gh$f*`1N;a7F}%D!S6(#E~vJc-ZCBO66Zu278uG^4iiMY9f7Z9F4p$Z{!a#k@JGAMB^L&0Q`y&&5hB&dS=b ztgC`k8zZY(>*~{T2-0*G@~qdjX?H2-SqSUEt&CHy>}hvY_SyIWz(_bbuWwBn?l}Hb zRpdGQZKT*W+i&!i_$-A)ZmW5^HE+2m{;{5e0r^^Dy|Di+xv6qN^JOVZP9i>`fzr$6 zbET1Q7*AF(BSOx80Emyu`+d513kJBTJXo{Ekue{%JF)i5l)YUdB zU5C!i?sDxu;0g*Z))K%4dXqg%jngO~6zsLfsr&&L)v1Sqf)iX>DfqC)GKmp~6wOrP zZ6}+pm=3!yQ0PpHbzw%0DyyYRvhoA4oZ`Go#PhY(@J-5k*P!H7k=%|vBb9fa9^CA# z2h+F2IuCgQ;LI|P8cXZ9P&lxx56sFGg6$RhNk40GIYZfJt#An%R0X@_m^rY20?O`0 z=*mv&Q){G$T8|3JDqUPoQ^#^=HM<;x<`zb5D>j-_#`$u2-e@q3Uwk?1hwNDGrbs5}g=P9_k-Rd>1>+qWh@w@ln{9DKDUQ z)qB%Yrr5D<meZkMZ7TeH$Ph=1fw4qVydwp+MW?Xdv;YV~+~s*EH~ z`y#pC_z-q{%IrYqtOZ#$exnmFq_S~x8ats$6F0Vh8vVu`v zmock1=*F3AFKumDs`>J8aWBh*Y(&h=Y{-8)PZL78NwCDg5{O%86`j6Uo;(u$dCa<4 zb*{^F*xRqor3vZu2cTUNfGJentRiqQY+XC`QQ`o$I{Jf-`vRN~0b<)FtU?@sL?IA( zdgi+QK&iJ~!|zetZt*NJS4K2r;ZjnS#Bp=R_Ls$mqW0tAC`addmBL`n^)=IDGkjMO z9{khz>KdHbj|NnkYs@8AAYs=fA!KNiOnxDpDUOSh_x0e>w3zLy~-db&Sf=7&tgjr^XfTm@JwN>i%F}elMTU)o9Yg1 zepUHd8bo!mQq@N^yJ`fC9`JbB+iiakAZsJwE35n(Bqt~DHj?=fJX`<0B}0Q`Zl%!K zK76j-)GHyD_PLJ^>!xQV8+{3bM7%GSbf%{dor_!(pV;tw+= zmlwk}K${;wf%);~=ZS*D1zZ!rcVCTOjJkFKb~@=1D2i2izN3)9b%7 z$K7z;3{2WAgiTM794Bl>54KlOosW~wdbfIY0Y&-`*ISOjMM*5v7}1O{_LUG+cc{KI zb8MPv@!3*8$>e{jWBFvdneCHW6NK2faF+a&uAY^SjyA-Ly>Ul0btu_lr1Gg(2kfUO zt9=_xQJJ8}!9l`7;9c4VYt7&?~n#7J5H#!&QA7S-RIu!X7H0b6Ly>eXeXE zdiJ%pW~T+ROP=UiU5B>(@5DlFv2j7_y-rd}1q>ec(6{P>@cGP}K#aY~cAiUzC}FlM zU1Kr3X0_h+t4iTPs~E(|_JqKM+jK3zdPzBig=SdQu2&?z+$c&tk>22u=En)1iv#`k z$)=5y2SmqeAL+sJk{`fr665gCNcw$goHk7^Q~9E!BpK|Ld8Vw2b(1`k0fCH0C2MKXxwyOWu}ZnIC@K<8t>#wf z!M(8tMEVQHsXsi-tl-C}Fhfbg$qHbaH!n&}ODwKqAG!%OJN*LQukc4~)@ZY3^EiBH za@{s#e9UI}4PuDqj1V?aPa<=Xo&A}aYEKXB!@V)eS5UL2d=&jMZkmiPuKAfHj*;}s ztC_>$3W2GL7s^WU6?^UG^UX)1Efw;yc|_f|)X$$;vXP=H?$+;{$#4^uIh~;>^G>Ma z;owf7$N_=HI3kFT@;_J3jpeQy3_nsQq*S+<7U-35vPNM7@GXo!$ywT)B?De&rcPmF zUmmg)SA`>BixR+va#BFBClW7o!F+&S7^aIE;7}WM9}`fX2d21eoOv*)+eePTMqT>? z^P(;LuwjzNLYYkz&%p`SNtw}O3h|>Z>_ka9|j6}x9|?f+%Qb{5jP%mW=vrmuH8!m6M7VjZ~<0ZS*oE>ctNLcSJkz zV@G3Sz(>7GxmN}VDHw822&jJ96h(r{PIdTL4}5Gr);jeBuSHeDy&lo35V>7`VP&S? zfk7IO9bEG6BHy<)%%jEFGJ0Ta6`!tPn)T)RmbXDWryv)NHr)Vv(o|sq=nV^_oYUh9 z!TD3j1D^|cipA~-uXW+5%##<@7{%B&c2AVmV%KEV4nQ-nWzT|3y4j@}k-todcsYZTlTO}J=2Om-Vz+m=12Xl)SuJi@YxSRyq||-Vtyhjbkr#-NpP$IT zFhj<=eO*BR?#d*H|GBlbfswHz#j0u`!9evTjdD^E&cF-|I3dA5m+!SZ{KbciNrDf$ zP9Y0|rR}`k_E${iOu0fz5AlHQYc;yY7-!`4Hcs;7eb*ZW>~PhI{g&$bZW<)#=&9j) zzBcK0wg!yyR|8>jI56Y82Xno}XYx{%rZ}m)YQ(w4}P7bKZUjZgPaxrKHz- z)J6#v$f^*SxtsT%8__)J3eO%%7!!O%sSecdLbBB&A89Y_M=-Wf-l^|Nbf+eoNs zk`+tpT5hbblZ(bSg!HC;Vj;JRjP9%4l4{uTmwSCvzFIS(Nb*nn|dLZNnh}M1xYtY6nkVQq4muGUSE9ci6KLacK z9S@BBLm4OvN=lqQCpbC)5vkghQ9^_HZJbytp5T?p%Q4Yf&puK1K1B3+tc<;x@MS(% z?=3!-v{Su4*a-P)iJ2P^Z<}&?=9oX`8D^bMJdz`mmj=UH$(wa9rYqMXhG}vUC0w%h z8dqdwuu@```vVoFwv$<&wMeInGA-2i+TztAsj*osNt!C^`7!x8o^p($>y(vzLdIQ( z9(B^`EqHX5AQp?I6B4h2c~-83EAY02in7WTS;fbyq~Fywq4~jAC%=vl1{Rr(`N5PPNKy#k1SaYct;3VY`@xW-*74qb%jc z7n`-F*{OM|a&`l9L8|M+FKG|$_ch{QmkNw)89evvFR?YuGSyF7;~X<&?YEw;!M_5J z1>#eYM-L%Yg0ok7pVhu4f9buodPFTCFc&2b(LoVkh~IL%wfD3aq?dZ$?KQ|~?i}UE z8rtS$Zxc&F(^?SC`(9gcoRl)wU?kXalK*R2TDw^92CwlzykM!imiK{hN;DJo-}-<>}sVR#{ZuScTx1yi#xvCUx(llgW%kUJhp4wN%Ik!C)Zr zE(#0-yct99bJl)+sA*-$|(=VbMu}-97gy4?7VMT+H z22qP<<2+krxUx%=^G2-<%l(r6Y@s@q6}rGT;}Tdg3aG9^mHlF_9l3#~1|BT6apL^- zLQbkz7l72RnZQ!ThpS0MPGH5kU6+cUiCj2q9UVE~i)Pk2AXnwUk+h~HXHB6d z4L4QCC{9}w)Dc4K)AKZ|i#_{eSFvy1q^LGHk8fNd=c^AYo)YjK> zXyZe@uI+v?u-{`Iqp_|_cK~&)ebGpM#r4qC@OzDj7EjKaySEG)&a^dRsc?^(vZ7i= zKPn}y13(?BvKcTd%IeKPDEm$F@rF6 zu!tZ+Gf|qANm9b2YIi}obYfgfH;%{mNt_T_T}!;YM~4+kvjeC=nx3W-uKG13Q`C7T zF0cSZLhX4KGE-f5>w$5-u*Z~M>RHC`Hr%bQqb~0$t7m`er$xo{CQ;n?IH+E>kW6kQ zQq+e5tt66S&qVF(Z0DY+vZK3^a`|RUZC4-HU@UjbH9{9$%h1Qqn^5*xD z!1GNGN=gXrN4Dh=vU0?8m%S_S=)Rot5^O>9@}kvIMX|4Kh$U-Zi+bb3 zASoD!GNCTdL;@#d_|)U8rC``BwmH03xWxwTR1b;g(Ff^&6sZxze&C-SCRn26G?BrD(a8JnA^I z^ZrO~7U{XSxcDG#b2ZaCR2yMZU)?<}CdbKEfQvC*&8{Zgtfa3}h`Mm17W9Y-SRzi} ztj?2*GV7?Cv^4o*&S_b3LytLuD4$tMGcQ^4wKg>^ zw5X*mWqjhjAj7F?6{yleu57n>?4e#{fNDO7JRDG;KrK&I@}Z6*uh!Q%r+UeRP2_#N zy#41Pa5!4wZjsiAFBo!asjsl@gz*ALCB6W5<Z&k1DBT}giRxU}?Och_!het_MVX3w5_t_CZCCBNLN*wynvx@ZhcA%sWYNkcSFSmuZF)jp>EhI{0;&f4nI0=(D$5IMnb?mb3mG{H?Dd6GfzLn-j; z&05#{?R(`U_2mVbRdxl>Nd@3Cx;O<4VlG3RRrdBgFs0)bw;3U!lw2sJv-Rg(xbTi& zzR0AqkqTX_^BV_gXpJwO_cA+TezCPF0J|I5*5q)7;xeasmnv$`Rxn!c%pTGkGXd=! zwX-`j)xI>!T;t)tsf==1N{{DUKTcQcV+KuXcf~MM@vNffw=p!#kcpF9BX0{aeL0Tw zT=xj-o85NQ0dB!Wples@LuG1$>s$CysD2=~p>(kdRfnV&o!KlZiDU5&)oCC@oDTqL zYy(=w34e)=6*PqUO^)}Og`)LXW=1V_Vp3~Y34+2E%w#&bL5q)*^I$)0D0{rB;#$(+ zo2ui4Pm=mlq)^i)v6V{cqzB#1Sl+L?78rMu}tGeQar>+6LHL0s;Ol~g!2@dq*L z;-c4G<%5cWIZr^ur_V&*Zn_$eGM+Ed`kK{`#8C zu-6FHuboZIU)yi;4Ucc7V6-IY%dIiAEJjXVe4_k>I9idTi0^Om$GyxQE_J zi0_F`6MpYf)KZM8&?XRM`os;h>o%QI(zy`bd?;neVrJ0R%@{^mFlh|s0+Jvu_btBM z=LmZ0z!&%+7N$bJX?1M}WS9Ave8ELe6>3x<#yZF$$C%t0$D|kN$K-rkbJz%DW7-Gea9ajeQHf> zr0ayn=b>h8IT|!nMEukvmXd`(KNz=-k$%!z@Kj(gYGP=e5PQ#7B~Z@G48ItJEQbJw zBzVEUK%w8}vJ?n0ac-eL!dlOnojE281vQL83UEZta2~#~-#3pAoZ;BJ;x4X<38~(a zh=*I%YEM$%152vAeW~H|O&{Wjo9F8lA=A6Qw5)So$9 z$gQguHJT_$4a=Bkt4%fFcuIiG_I&)k_Gp|*>*<{;{fPJY^4*r-(%^!)zN?0|EX#g*Mapbe?gQ%Pqy0R_=1M6m@&>cJKoh1yj#7Vwmdu%VOv%^ zq%dt<&9w8e-6Wfo@r*V)bHx<)6kfuf8TN{4ef7Y2%7rMwQTL;o3MuG|2!d2jqlBR4 zt0h!rNtA_ivCemjW^3n1WM2=jRZeyucf%7FFG}2u5S|lh{{SomzWV|A#32uOO|h1N zu}x;hGfA4n8$@K0G#*4`jQyInb>DK)SE$T-bBZ9CR23YP?T$>_NV}KuwSz6Xbw7B? zQ!3nT3s19wAh&=~dOuFNL`;7xh)9FTI0z5AWfySwSCOOfV=u6!#wM<9$HrW#c}Esl+gUrxruFRm1jwT0vAr7syQ2DFvLGw$PIVSvE960#awg5 zlRV&=se-uy8`mya^VKQUwQ&zQDjcwQo~|CfOyKCVR@Hi_V>#dC^uQPpHXmmY)qmzoE)hjNWG(e>AsN?l(w_><=%TyWt zZ>jqEdjhPJ{8b}3=j((KU55M3AcfrtLS)gem6MhjR76ByQk$N5MfJ{mksJH=vc8Li zCf+~VAHR-&TP$VO-3>B^@=Wh&M98{ty>(bvBXEw)Apo-L|x3 zD#>wnp-103;x%jCb!6nL>N$U8s;Dlqu^T$4i^fr^S87H$Gb^hm3Xkj(+zL$}C&NyT zSI_3wmKA+@XIFX@I^`>(fdRHwd!pVQ$~~Y0fgD3TW2@Uv{c(Q)dJX5vp1cgF^Rm~m z4Rs=FIgk0;iC!i*=&|Imih!<=T6ZX0p7hx|I0Hu18qPAGV%>H{_uGby?L*hrOl5y3 zI$epn=egs~38w+9(z2Y3$cCc_?qi~pPg4HlbF&g*!$+0 zKG}Dt@<*nvMxt8Vicrcs+AX$G1E<3lvdCvb{Ns}2;-?}b5A(6IZUxV~gzpTJ3MxmZ zpe#h?n~nAYPPW{2HX<<*-CcPH-Ta+gp?mx#CB%W#I)>0P%B%k zcH^o#(V5z6CZn5!QtcfCYj6^%?25CVh#dFEs^~iP_XT=j^f}K=P+;)Os(^!f-it)f zT~Ms?LvE?;O$rnG3BXT5(Sb~uiJ9-|i`u_^GyMUGtgZhFa@x^+O2;u@6x8wBqYhx8 z;3tS8)+*9lDN6*{+gC?A9){YX(R(`-HC*zEJJUgdCXtUNXKvkl*Sr3+(%nCA_L~efYNA_DxaMhA*Mo`!&^RE;BSn=2YTb!3i=2U%h<4 z;0=}CQ+{IrgE9)Z~-)ajw73`Usnl|oBz+=gpU_LLcOtv@aLY+I1Pq}U;wXUvX z*fW`D0VJWnZee<;w-Po?rCy9bDxuZ2iAgl7^kB$Xt ziXW&Q3qoVXn)&Hq(%X8U@MXL9VqDgl@LMijrRn`<82|x`x~WqbQ)zO zyF#+tBQDR^?oy{QNo$pkwmObx@n_4HsW7Pv9(ai2g5sOl5uD3)WQZ=TJ!TW7-H9z! zS+CiHmXPJh4Ff@z$N!75Z;sCF>DG*G+fK*m=#6a~9VZ>zb~);i~@s=w-}>g@gOdUgSk_BQ^@zo7Wk%>@o$oRO9ufw{NLRL=zHVZ@+{ zt5S#}X~rh)VuGwP8z*m{On96?5KEhiK;PPA1!V?(Yr+Fvx^0j;P z5h=gl@_t7hEySjBdY&!cZ_r(^DnrM5T_8LhvD!{pMIO$|bfvv?b>upXSQ{~EC4kC0 zyUY5D=2_ydQkWk~{p1tZ^%W_Du_;PWEi8Ejfp&C?;dSS=GS-z+}Ir)7H{57^}0HQq?+f zOc8R>4xh=$6F;hp$KG=5W#@CN=Iu}V;i-A2l&4p^2per_=eMsgc%$hEy`axk4FD!5 zeVxXkr4DQRGAMSZzI+X|hjR3zvII6(eKqF-zEt@@O$HjS+&iS5udw<(|L=~NPK-|w zZ(To#nop2oeT#R!ntQs|e@Nvo=f8|qbXYm3vR*Fg&82x&PgvhNrxXrfI`m!Wk^kkg z$|N0#bGw}sAzMLPTQs{3_xPd;BQS0}^nd#ng^f5L`7xkxqgBQ|v<|$;g7U4@oTE$qwevrTt!z{0Vzx*t=`C1B}4`Of_n#D6!YY1X(N=z8-K7Ef%8vTB_l z*t3cuG|!xKd=w;ka39MO7B^Mm%gU+c6P8z3qX}&*1xh3-jN1$%{B{Vj@11sEKS6Nf zZ?a(*77p(@_8**|?oUsES5!_%;QZgT85X~7MbCEEim7ZWFOZKY=r7FXAg9gC50&tT zbqdrQ^&Ax?XWU8=exz0Je1f$5 zA*WsQyltWGGvyb*SHAi@#1{!so$S}^JqHzW7xH>q@n}p>3^=borDPQ}(T1=WBA38{ zHwuB9fS!7pbqwwer}kPH-A%3&$rAYZort&b{O;-%+Sk=zifMpdQ`eMX z154_QlKv@70L!DEw3@_=UU6bRl9eVpz~|0PznyF8GT$%UThN?zAJY6~dB?$?v&YXn z^X?(jMFBssuFca-hw!ZB;kD5uh3*0_ecA|23(Ct=#k9sJoYA4G@Yw-Epk*zuj0S6_TJG2zzql!w|L&{`St#Tfh&(wj>ei`Z zK%%2Rv#10}{5>HzrcKH##H2~cbCr7FE`1iUFm`&WK}AA~Di}Xa*wa%WB@I)MhUJl@ zK#co-(cwB?^SYfq%Hjzx{gRnG8V{$UY}k43!9c^%q^%`9oqH@q*aJKwNY!&o|b_V#b3s2R+$&{5jT4u`+~0|Fo%%Dsf;BQkd$PPyHHx~p+xxCOt>ie zp48H&KXl5*It2X;So~i?6G`v#m%0DTXqPRk%U1d(U6x6QkOPA(?jrXM{FEm_XcDae zz$lVH$+vgaoLAVq8<~{nBSqc9UF0suNJ)*mj~&a+%3CoKfjgq897M&7gbg<)rk5Zk zjNX+CJkW@ev~*igNeIqUi}D-4@)WxG_rbf`HQeBFbx4L6q29$ri|Kn zohb-sgukXKCw3es#Yrv`)^>3a!xr`z?C}NO98pQQq_L25)4?g43>o(BXjzokO3?_r z-3xeYQsZIi{?M#zvyy?2FjH$kQ7Oa-PA%js*2q2PNs?L=9-6|5o4qP4ri2wO$NODJ z3F05!T98>%;0h^7DWQM5NxJ`YnicfRTu8=@`qO5~5w$o{m+|04Z6oF=JU?gto`jzJ z7OG*EJZytl9QYuyy(41tD-~D7LHig23dJ)?ic*>)L5kuNgapd0g^3&sEdS@UgC)!t zGxRm>dp{XzbO3CZq+<#=IvPXj3rhQPyeNqBUp=G#3o}If+GcpHv}8m0FEUZX!m&U& zY5uoe8}+d$1W3x#qap;FKo+n26s?mT%>h?vTvN#oS3&)Nd zX{w5nx%;!0CrT<*kqan+=?Q10akFEkkU|6W(vUT-FoaXq!J)so>V}o7pn|ZTY2`gV z%yFyK^aAaEl1!{dN%Z|)^P5IfbjG|m_o=JDR3LC*5t0EtX32JGI$~H6JCJmMCFLQc zg^{F`(55!A&P1p`w9?7KyQXB2RyxVxl>bs?gz7CgXw$}*wjg(3MzmToX|f$LiR*ym ze@*+AXUZ$;0mOeAVc(>6TwNY%B-V+S@U)Co1e{)hrc52xTR9Wj4c(O4z z-}Yv>;xm;XmY~3gD@l^Xxl~?tDr!!64m!>5e{JxpHeXGf#2)<~=Z_{J2!-=wjTS}K z`U*-Vu855`mTAJ&(DDvnCSv)uP_R(sTdJT2L6@fdakb%8F=9|Jg9;fwwgT zF&~@q{EMnB_vIe0D*CL^9T`7)N?}Zpx$511Qy7z9z-SxHDU7ui3a7<*IF>Jp|@p@Sp#W zK3S1)e!z%+u|A?x=5|I2);SnEPEI=1J^B)GHm#wEB)*kpZz+;SNP87_O$*lsK^{X-?W1pV(qBE)7AbCv*Qz{;uVO^9PhZ=6n0hRy+m;`~^g zj~q4lvPL690rO_h2&WvRSHFA*(kwN>b4U@J6JR%=8e;9Lz4iML{=-1jcymhCyBQ=j zDl*bBTnNLMtKfX)8OrO`mG)Qs(vZ&p4@6%Qtf{(Koh~JlUdLiucN+^!G85!+j9yeh zzUft66m6{V8d^MMGfc-d@t|ei7f`rLf=`1+6QMd-U22SZXVLNldxLgNu?}UgVE=e zrTBBaUd=eaHYBU}(c5zz`@QZm zN_9Z0W<|#@6TfDkU#_PIuPhzkfp{OEipDMa<$xn^?y)ni@ce>aO9Uv#g-$1v_=?Xa z)Bh+JEs5_;di?rXE!xBalKlz3Cnf*Oqxv@;`oDX<2LGM@k>fwbKF030PQ7i)~#p*17kb0n7PI4Sv-dk5NA#ZeD zM4M?M=j^3x2DcMnuZL*&4r?z=Vk4qTFTp@o*I?2<6*_gzWDrQkh5M z-90~l#_531hzO@4)keh5wCKprDEYaMckW6VfJ340+W7>6XKYK?iZ{>YR(IcEU4_eC zBeW;F!E;S+Lgv%OR2o#u>p||2Aq&T35)q3I>7PbH?8Tce4CX~{X!}#Gdt4}O2S+^!xjJ6rAYNc?5#X5j*w}7Rtrv}RTd{K*ERVGrb1gzC zRM?@6sqHbV)}t^uF~z8v7v>$aqp)!O_LQzM^@7KQ$h@JD1r}IC+R6xpbU)|pyWQG? zE)j&Q)ru8LKYA=F|FzSDFbU%gsS939vK9iT@N)2i)iC3%y&0!)ZiQQzRb)bEXk1Z@ zm!=;Rj&_VhfHuM)E3_^I&9Ck*Imb@&eF-_lK^yjP%S#%3U@-L**SbsQ+ep9bsElz- zQJ#(@<<{kpt@C=PErf@(A*`28BKu}es{d(TLSs~Mw<`Oy`uH>pswbF%Ac5DA?Eh2>bN>I06c!S;@84O!l*9j__R1x49$B6vg;H|F95@C~E*kAWZNaL^K5jc*H&#ba2P2ibb%J zNqyBW?;UJREh>MHE)Y>Is|_^{rmY`1(SS=49KBzdJyQ@J;r9-~@ePPJ*x#Kqo_M|l zRVb@kTdI1H;uPIDLsMPw=;N%}JIfkjFoj@N*$QT8|RnaAJr700y< zP-;<%$hc4^CAbs< zv|vJL?$CNU&yD%7B(@c{$tJAqv0@-$@KMT8Y?UTL9+q9pd62QLJqgaV&&iQa)@nZF zA2^#)PokIgCp{n!Z=H4stQCY_%@%a4HJk`7YLMbChhFUoKB=nMjHqL_MzuR6;^lig zsf~d@`<+mx)bAVMUeOuJ4@ZyO5sPQ|>1#g3gVSKFzODvn|ALTIXZXTXfLM}>^cR)X zAGDuLfgb?R7p~&)7BSi`G>(77!bLrAaC{H!w$bLoP^9LsW223BF9@aO_kH)BTzdY6xtDpHiWD$FsN<0mrmV}~y{XH1+-Z1PM<4)-f zmT!`QHYAMIBC_)xzvwlNu9oPe!qDY0siGallM;GCC0k^{dSABtCDcxAJH&rfX4lX4 zj#u>e$uRw%PF96M!W(_k?)&17_p;Eq;Rv(d8hpg@*a?l-nx#8A^n{QjYK#l`T1+Ds zj2m1VQNiDH5~b2cuP#+k52=RCDwKP>>k~mw9~%NEoYlvz#m_AeABhG+EVB3H)10MW zcP$asuoFuZ;6jha6<5N?f|9dTiP5VA1rlt~<3HEeP||f1jd|w!hXlZ1?03I% zpVoV>^`33i5_O&e%f_L9iIqB)Vrh;8+}g9bdsx}U~z;bA!y4EwgF3PIx^%if5D`XArT#$QJ$t|L(9WRB z#L7;e+q-ohf5OVz8rTdx!+2<(6!6O;_K4ZzPB`N3e0q6N7!uiEGQNCn8}6&_;WO2v zCNm)^FeU~j@zrXa-VhCOyoS6oTdh&USUlbUHv-M+mz$F>hA$GETxV; zd){6ZuFHEH++XF>QGZjtUAYLv9>NA5>&8P1b`UIWiPeAreUh)ry$PlN*l*+l{(fyB zt6pMG+{ZHyP+Z+9H?RAFHx*$`JF6MXo!Nw6;F9M<1q4m06)k8Y>jV#+$I~qHO&@bN zKzGT0UUkp>Nwgm6kUCiv09578jRHna1s%E@9Ci+I5SL!~^K$sJys@lL%5P_QIm9r* zc!z~kGp=0#dX9>5p|O&K@Amj$bj4k$ha&-;Fgo{e_?6f!QH|R^Wm{NBh>9J*-u~<; zR}$GS4I2BtqLOj^mg(2z-Qla0SmaOBdoo%l?C;nndA+d8 z7&F2Nx{tPQd!o3-GA8aG8hpjw_3nM5O??6}U`p4o`Kimre``uR^gwd=#G-}miJR3liBXr}pUBsjA6p^Ah zd@x}R0sG7=+`K!_`U_JWWL<-Tu+uRY!g-aMo2Ps9yZ!gPjBW0Ig;V|Z@9u>Be9S@m z&}*nXCqQ?ks}M{Ra3Xb1A=noL!$dITK8gT0vT=X3#z5U@#mMeuxMp|^5}?~1K*Vz~2c=8B5Z;A8>|xGY$e z?WQ+3A4F(y^mm*y4|$%-sHaRFE%c~ox7Rmb5+JF_@-%c+ zuG2jb+~L^|BrNPlnz61yskh%p_UZE$*sB|5FPY(|yd*lyJ>;qZI8QAyBZUO>2WL+U zL6T!(&5>U7C8mJk`$FCa`=?<=REk;P*}Z20)6q6l_T`eF#-Oho0;C_ovruA$IV!{> z=`DGN{^0vI9nw!CW7<4#T4+BH`*nf9mAGhEIw1tIL3#S}iRsAzPGb-9Yc9KOX3ebV zqq3FG=Y9Xi$~}Kh2qev>aPU(?gC^hDHG$!w z$1Ve(o%?l#C(|a2LBJWvYc&+t3x?p9_qd3A`K1@m*&)e==o?`m=GiIAo~<)leg$&A z!?sQE5(@M=zmdSB$@s>n(176Uhha z3xGx=#vO7|FNO<$tPqA$(-(Yf50z%t7`0PW5CFW!nM;kibtCk8Wxe7GKYOEa}ORN znNX0pTYx!>ccOh_d*WhdGPeS0&EJ-`F?wn8RBPLq+A-9rdZ7-;f0cI8wNaHQwK@HJ znsz#H3iW{Y0QaDF8hr|!M=o?!V=*Kt5lOxle^uh<%%#p<<*IwuyyDQbM=FtO9BZ7tr!_<$ z^B(g=@acTWt2YTdDQ({1lj zUrjty@C0JrW8eD;Odnm`dA?B3Pt$%|l5&k(8iuW3zq4p@qr|CSr>kFonC*zF0pSeN z8(#d2c<$=pb_gaoN3Qz!kfl(X%WyIbJA zryY$?8b4{F7xJ8jgBXO7=%#2&{6IlrHTswfpBZ!lbOIC_G+Nvny)KwcF44~&U7W2a zp{<=xaG&aX!V|ALJAtF~wn{tWEfF8LJ>X$yvQPOd<5l_D7}#?G*!Esur@}+5ZbZ!o z@e#3>%l?sRmRJR>m{d;UUkF#JGl^KQ`>hR@%A)Ykw)Y`arS zti`W;OKSFv%*OBR^ZQ|ExQ{aI7xEGDBro5q{o+nkUqqNOvIHR#Ko;r!Yh6X?i(Gto-AlDz7jmgjyBVD*7 zN`)rqnh2nX`z?h68%lnSj3z8RqF);u9B=Q);1T#1%_rqKqOxykM5?|FN`xjvHo~^A zg(j9Kg@7xRMLyM6Z4bsDst_JM+%Io50>3YIi{_EmF04P0@xl{9P971&HR9{&-!8OC zVHe+Ih#Wx;OA$}eQ+$of4Rh5kaZS{Y9JVd;%A7OL)=sya>lN3 ztbo3NzHnidW7cIBadu+X^Mvz6ex3U&l_;rE23dx;Aagc<*7gMUg!Y8{gz|(#x7e%hx>lGXz?^hr&lYPQ;ui+V(Rp67L&nhXtt_LH3%I_+$ z)=Tt5XC=rQe{GV;2zjrnHppXHeldjij`5MkD+&+;9Jg(Reme0vhkgA1NO5MW@V9t{ z?Of}Tz$>exTi=A~Z|VyDx!NP1SLTH|^fLar+#{CPA3~F>nyx*@2O_U*LZh%1=yQ=r zRNmf?9)7dz8if_}^Xzk{M@V3T&p@ZyR!#o7!MToWl+RG7xj@a{xx_8(OVWG4uUS`( z!3wM^nyWCjo=EMEk~>0H&zjQr^FZ?*NB2lSTS~c9GwH%v1j`t94ITx$L^G+n9f@1+ zi-YXCZX0_Hjl*|)bXtFWNm4Co+y3`mvswhtVS^E+Tc*a~hMF%A@)`&V|Ri@Z=I?FESp041R!`~wTFG!8BQ|xX#BWC1n(R!nt zb@Hy?FXx}6xo0g-pd&t2wGp>Jg;;vfxu@r5kvOGg3l}Y1JcDL1P%Mz(kYEwvn>5ME z^7D+vmrcB^*CoT)_(@r4xhXjq0LH3j>aLT=>nt9|LkHO$o!x3&hDJ&bBP)qi*=z^v zrE^88lfSvG9y3~wl9Q)+KLaD-k2KVK0#EUtdc_)Cuo*Nj4{28 z88GSnt=+2~tJZWmP%E$QG@Dqps&4xTb@{&Oe8+J1eZBRjaqVi^`AF{%?^Wl~Ch&6W zwtEq}$tIP^^EsX{D^qWyjmYQxCTqj%@zQJ8PT`UN;ht@Z|LF~$sq_ApzPj`A^#lBR z@Q+=G&vj;37jP38hU9m>BVj%n^M5-&S5{^Y95JH==>680+gVz;SG3adS!d^zRT2`> z(04Oq;vN3Fu5gl3@zAmM{)9z_M}$RUg@*ba1=S&6u2lY86t)0kC|Pxs$h@dWbN9R ziVB~Jk;^(5a=+X)1Qk5iZ<^4X5^7I&Bb=6)DX7I!UKP(J-8JJ-$mEg1^yc(3_)$J( zHEv>Z8r&gAqj%0v%`iS&iqVmI-XX?0$|lvHD48W}>$ zkb3$MYm)t`M>_4XtW*XNjuB!;~|gh z+FnIQIbS>y1q>T`Oq|zpwH1@lRkfGVc$(8xq_wp3_EJh9*h$H)SR&oxx|$2-2;<0! zz&`!>lLNt9Tr^Hl|7{0vKyJ|gdU%2Lr!rQU6J8(jB}+|_CqnH`c}7lBF%&@gXhyC= z*O+MRtfgJzpqqR*otULTW03IO%v~;7Ip6iSAB6lZ7cK&a_0wF|zKMb|8ZzpH=soQz zmwu9yvP#P1^o+xV87^u%vh+-&+JW)h%$qB(+x03D_P6f9Vq@{N>Ti94C5-lk)Tv$; zly)Z%O~Dg841^ykLN}vaQTuwkv)G3-JkXzz>3t%RRzseW&)Mv4J{Kxx19E9B)v}EG zDy7S=qvwW{2EgAvoFTWtvfmQ(N`z-K~!BqFYjZaOcJ9A31F1XgdMF58#*>NcIhTbbqRdzD?5AiAgM>G*;PxJ#mGh zfUw@ww={0zW}jOi-&iEXNjRZnmpRMD2ndu&hwFgBNH4%Qqy!Utq}40UXLWPR(;<$t z6#r--A$KN`oQ_Z+8)bx;>}uqt$Z+!$)A$h=2b9qeZ;U7b*M|AZc5Qy4xL! z-6)O|(2VDRunXz#&j}0A+Xy%uMOS%EKLmh3+i=Cd>>V@x0;m9A-UgM1#eypon2 z{WdRyPFel~Hc2)vT9e+gmDT1}eE9 zW<9RxSCB*aTZI$5C2JUQD>@~KgU0313hx~--t{gUlPqb9$4O`;-~o9`8e|O#ei8cG zLGyUdb9l}Z{~Ys1h$S}}K8TIFL3}5(l+)iBf?Qz!#G5UfYGP~KH<5@_nOvrjQP6Am zwqx5!)Nt17V0UxWxk84)a9TC7kkoC%mSPr>E2?%@t{F{OuhLUSlomMa0}wGxo`YYu9yU`?U{EsK0G_G1LfDV zRKI$EM?GRSE$1uEM1VuM8||}JYwQraPX<4fF^ZcTq&+5|9$t*Ab1AoH0latNtn(WG zE6fH`{P3%NDjS+rlPj;pD%g{eU+|{FTC0(DPl%`IcUtnH_)_%E1DwDUfL=>`qKh8T zWX-lUrpignNe@Y*%<5Ot&amXfGT8_cx7uWK({PBHC!l27z4^z9w)bJ6EQ=?KF3j06 z$Jec?EXO+S-sMdPOvwwW?bc~2`YYW1IAamQoTfMA0UO{~C#euU7Hmeq;b#(hhVL~% zWyIvLfw_PgWA1tSnhN%MoF&{(C1g@wgoaVbrb;zIu2?u|k-OJdFs){^|K z^grwHuyxl8ykMzZfoKwnyD-uOsQ)g7BFKgRX@8Z@EAl6TJ5Dr?AYw>4!=R-9E-|=C zME_}HaOcQ&$l(MTEmsI?Mob5En|diVV*djg!;g{IKP9$niIT2!f}tt-gnNU~{#BQd zbXWYRPC65TmPzvu2`F>#h)tH(SsLeiwM2xU_S-htj-~dEN9o_yHQ- zZD0m)ypSLC)~L@+_ATA1f>qsP-L%Y))b{wx^#x-o_?kE|v_E)yy@RQOCt!F{vP7q0 zehTzT!04y%MVbbrpQKNu6Q)yhlo6(rwh}LDD@hi@1AHa8OY z%Z&k_)(JOr&*qA3pJ#8#*sS&Auidvs#v=n848LD#+$Qf ztlI!n4d&^vG0Ie|(7w|Bx@$jgt97=~T_69wVyX>OUZq~6wJ4_Ejb-@cRixj9x&@h2 z_h9p}HRM#UhZA4j7$^3Mnez%7Dy7>1FSi>S(th`%s4`SGnJbMlxexh(%gP{W$#hej6fQYq&_&6G+1huS4-P!6zCA!o80qTOF{zWD=UV4{ zTU^WZqFTw(TeHBqYE9UlgpCft((+|@XZRk=noT47+CwQoyP^~6p;H`T#b0X#L`pCB zHf(&+G;JMK9BtO-&)>Czk8kYsRbj_B%axIT1o?VYevF>OX0eQ@c(QM%Wv%bi63Lys znDWB5bP`wr?oWxp>c%|7Q#JH(2d$|m4`1on63y$50 zl1JHI=Er!FqHe5zvzCw7F%+#Qj=Hh3`@8Zq&WRU01E^ zs!sg_Z9<)LwXM2w%d4TOsp(e2%aOhtLt(apHfzTHbF*}2TQOPe zKr{8QI*~%DNu`+n^5)UVn3Yaw_1Qi{nrg&CD3!=g4{x>fa$eC_&EhO3YQ)+T%EzdJKSQl3%4ma z?fv!*vE&(q9xaC_3hYXRAQSn{4T|*~A{wW}j4N_w&X;=O@2eS``mj2kKyRO$ffc z#~-^fje?+To6!3+oM#=Ose5bKprdQMB-ft%F%>yP@z`f{4O+^cL8sVL<6NCP^uI&ty)Jp&*A&8CntozD3XGir`s|KB1N;nv>Dz_Ab$pO+m2WUliySA z-3oZw9W-3Kn`ic5`NN*5!ee}~YFgPDkm+vo!UrO%WQwMKn4Q2JR2NjCN9LN)TS3E9 zqnF}$2)TfSQMhb|#f_TSrJ@_XpV zc>Ot?Yr;c$2Dk*2yl1h8Uq8GHMFxCow{-nQ$LCXB07-NR$%SK;RiGfS<&&nccw&^C*?ZbdD=*?^XOCNA!Y7n5C zFs$}HYg8m~N6H=E%MY2~Ki1>z$LHQKpCf6^taKGsQ(V}H(>~%Y<|JA_Z%RzQ7}rm9 z8zEjjdAp*f?PK7}5YD~NE9iUr2Tf^#re%G-XsgbxBw!ZwBzt^3<6CsJ(cB8(q1cp3 z*`)e}vW=RJ2B4CsXi{UT;i1Z0BnB{O1k`!aR~YR4a>X1CCT`4&`N_7xSfC zF0#J(ug(}e;()#55J@BIn-Vu7T2hr^RY#&0|K^bc6ONV$YYMkGuVHd7@C@*UoXe5= zxXC=Vc{z=lg?pY>_${xm7y;ocBOo$er+|xA3y)F`OJK;dpSwQ7PI*22%4XL0gyF>L z1pb7^bD~$g#?=+JEgI16`uDr)Q5oK~Pwc6bTJ zeUYkD^r6iJ@~Rn{T!NyL$1!rGB}7#Zsxv;KDgB62MR*Ur7|A3b?mIKQ#sIP+31W@` zm^<$&mN>a4OsZUh}15kV2WIclLh#P5U6B>_5YXDp!Ycf>p9oeHwGU@SibaXj=6gpx;B&pDMLXIbyJ zFFh47xw}puvZ^k*nTVV@XukZZkMU!JR<6pEuMHxmr~10^C$jiF#CSnA^8DYnW$H~` zJGv@nUDF!OB|V0WX!=98s08YoaT)Aw=_O;vk$yEjxVs!E#(@gA{H48({f^$Y^)-m< zoO9R&Vfi?DT~q)~JTlWR5XwEaV!*}yF?;GRRv(;W8r}ev-XTs_7g<70M(s>>O|?zc zPo<-XC}dF|((Q++U(`&+5Uy$;{x#;CZ2j>c7|8(Zc{hYpeW-_eT~9Eo1WAiQjz9h$ z-hr%%>gNZJ^_x_>QwrOwT%jJjV9)sNGBkg;qskn-86MdnFZhUCnsT74Nj5Z9g0y&H zI|n_W<5=9+p|<(nNFQ?J|Y$$;X0I=nK0s8mY1gNiRQOq@^h0uu@atG##QHX zBt0=N013Yh!6GQ1a&;-Ira{63_!g*xg-5&DuW+=f0Rdi7*k`;BPmzIj!)WtlC`U8M zh9*4w2;-uJ-qo+4rq4@V(5xo{;OX216c1P6yyxHxB7H)D9SjTf54P#BEHLmaHaYhh zctIGxZE&YCdl*-+;2*rTA{N=my)ht~TM*&To6t&&Z1zx--MC!f=GzDv0sm*?3glpG zRecAaV!At6FA1T915n?M2}Z|B5}@A)1tV&)PzFkOK`uXyiu^Z_W93cdE5^ruck@>I z{-Wq#--1k>&w4KONCM3d!+e}rxXF+G8A6}-aC>FH9@NLB){zs`!nk4dirt#>>8OM( zb)HpCRz~Exv!|UI>8}LsC55X5&AWv4#s;b%`0$g?F?}Ov7e9O++w&md^I>7h#ujsMx|vf$oHAyjA-3p{qn!fz$E^VfvN;9=|Uez)o zU5=27?hmVMW|2NRn^KUh$*{k^Gi-K_gDUVEw((6hw;$(Km@n{dmi~EaSm^fAbzoo0 zqNz$Uz%_KAlA1a-pOhjwtu9ZfHt;;?3XviJD?T{D4fs_0crPFYuaGo7_NuX)!MU5U zYcJ1JJAj#!IKK)rl4shZO=s9OO=wNC{qB}+5I^yk-g5EWnpPzralxWKAw@a5z&Po& zrdxNoPj`8ebpa@*TJ0j%j8GUV9W-x!%seeGQXMcFiU7`G;UZm3&<18UF}i4qR2S+S z&XJJV0(gV!NeK5w!^lX5hAE$Wp1RO5cs(zPisa0#Sq1F4t5IrZqv`JyJ=qk-yPjn(x86Z(4lbW0; zr}sR4ZD*Y}%T`x9PMkhXP|>pRrGhY>TX{xVrzAJ|wj(0Lfk&VGEgb`|8dsu@eXc~t z2{f~OCS;aoLRGt>MXyfp4$ddmS4d##O&alrUC1fs&}d>IJ**^s+gV56Mx zf#tug#f&r+pI7H60i*ShA%zW80CC#SGw(JBemo0@fxLJr?NdW z%mLmQZNK={4*OD3O9hROmiw5Yec73l^}aJl1K!7&s9)>=79i|aO7!F{OPCqoD9cSn zQUU_fadhL2>lOf;F}D4)DC?N^%q><-v>J?bQ&~1 zOK!x9WQ_loId@pM2W?ceigaz3_^fuTI>vs_*Qs10opjbC2~dJs2Q>l94fZE;(kv1p z{>gmo@-td|@l?1$n~)7BIt>jznZis_CIR(H-YiMgGf2Ex&58prInoe`WWHqSOACr9 zNL^qR(HLgZd|&$t+lOA|EF0Huk@S*ELleQQr+LBVXVO3a5h-cq=Vv177vh4q`jKtn zRX6NzcEF&{^8t^h08_hPsV*nCNAK&B{?G)I3j2iDnL<+@CXk}K903SkmVz-74)@w7 zO85X}Nst!)5K4gJ{95Q{@4gMLD=9SFntkO?=cyI`YS_&+b*)1+fio!vILvVAd0nYp{T~*Mby2W zhoyqg2)85BR!N}DSKr2)6$oI9#!cgNp3ijeax=*~+A`BdifH$}XPBA5v{* zjgIpNQalD@-@Zi{kzAa+A)*yRrDdXdMbbummjf-Io`i1=ha2$6M8nA0AUnWpRJwku zDK7S#NvmmR;Ru-)S?wvDPnFIr>%;L4r38IZ8ojUdV>182+JaI&CnK@!{Kyb}g22I_ z#f1HQOifV%X;8DRGHvCaMA5h78LhP6&&EfH06cEajE9lUnjAYP ztp+^!$5!`MhkeQj=0^#|=DF5es@7T=wXYYs14z}B{i&8^_i z(ZtH}8D0GMe8Wt7j%HoGDkkJCeEubEq7EgeKbP24Fg)s`oH2-FF3K?5v=J1AMY_bJ}mb^`)XJo;c*d##tm`;!jf(d7X=h0ig9wfsA8OfGCge3EU>bMtd z=;e4^TFp+Id*Ia2S(mLDqW93n*m}w?4`pH;<=-<6@*Uww|6h z{y21oaBaCqT_}cAJzYZ8Jz;A^kX~_aTofT5R+d5Ed%1YY0phi7XwpQd)Kia(W0!w= z%D!vv!@pujUmb68eB`~Xm_#B)AvuW+n1r=}1=fQ1Wg)B)Q-$2P!xJcx*!x4WbWEBe zAiyvpmLTMSF8=84Lc>8#ma7d6xa%KY0UM%vwRbE-*2#x-g*2LWyR{Sfqjd4o)AME( zK8|92a7bi1?Vni?wo(io=RbUpdMCjiz04OF4O4HWSJZ9I-i%f^vc8Kcp!TC=H^n8% z50Q2LR2qh%rd1qb7aTh>xtiwh0@nSnI(25c0D>M}ToX8TEQ}#N-^D%YGE^{s#2ydb z6GnK6EZa=qb{eczcu`im&m^W0I2Lbz_)3g!XvFP4=;monGQ;FO_4JIWHvYq|Z&}kR zf?}*nh7iLylLTxgrhz8NN1!OX{UFmN4EGR!V?G>*x|cK-}_{H z*=@nzKSF0^dw*;r?zjPu3fT|9hRSMozMxR{8ySs2*LgWv9Q}j}KV^UkBhomu5Ks7R zof0&v6{c2bs-SJD>5hVkh=dFW0}J6K2=kfXSt5^bL2`$v&o}EJalAl5!<^yXC&k4S zv%O_1Fxa(9gjTj>in)DLhM^D*dw8GoBE()2#+*t^(k~@)Bx3Gv$Ax&rlFMcmCZWl= zDR<`Gl(|p&qVj@RUJdsBC|sc@a;(5e%2z-bl>e3${HBm1DehYqkG+G%a{>(f7~HSE zeB}%ml}(!q>?R)S7yulS$ZQHi3cmCw%B{w%O`I9DXGijTy)pRnmCf|o@<);r?iR{6fW86WR zHe3~(-!H24SXL>GQE;CuuXeOAGrHzjKmzNB8XEC~^2Mx08Hqa!?gYz#{@ zK_T7WQ`>V4(*iDb41J1cH09r_eajm(FkER~knhnHrPI>!wrj($hwii>QL7WMP7)OK za6&eam5>z==!n;%DXF&DqG7Mu;b@ zC!B)PRGz!-&VU4RysHAthgq*X^mpH*K5ELe9X}VEuP7w$Y?G&5(glAhbH=v94#_ z9lG0q+pU}_GHT^o<(ET{DMc%LCVB(X*MY$He@LjvK`SMz4LmCx5J{OCYQ-Ni-?+`>9-3pS1`MlL`3gP)k)RXN2UDJD*yfaR(57?)`eS&Zte;S z)_!uV44Ocy+!mJF21Hbt%`mUNiY!A}D8amP?YLK+ajUBXa8HZ@KjXuV|GpkKioelHl0oN?i2ZL#O&DktJE!`<;M~^wJ$KPkp!3H#im>Kp zr@fHqI1gIWZW27xorm;Sv_rE6a9$iax{i7l=N*UB&fDGtq zoiCWrl-0<@1#|lQq>v_=bKEkcf9qEfpECo{ zdcJMo~D#SCbGqDws zpRJN@YdmlEFz(2vYZWxL`4SH5O83op)!O>?VWI2RniHnod!Qtt!NHv~rw``L%tK+Z zDF{pY*yOq>P78^q^FB&1r8*8$BNh)mT=G5l=a}$rEY2i&Pw|;%)-h(|d`eBbQ_C80 z+s)bXfqgnBZPR0EVS9b|11D=Mbe&l`TAEDv1_OQq@<4Z}G8TV}n>xKdbGG5O8Zm$! zS^Sf!PI{J8>?Sx;)jo@}nrIgL< zdrK)-%K_wQqy?nemqck}baAB5!0BRi5xw!#L$L-W?Y`RoB)i7T`tCzfuE`YmV0|PK z?0+eV3@PuX#-{e2%}hRJ7(z(jtDD~Mwq>ZbDCKdKXnPNwHwN1|sevWP;?5(Xp{b|Ou#ME>y30$z-bdii9wlcUIomJmoCOK!$ zCCX!Vtu}G(fqa@d^rrhps*q)#q;HixhiV1kg>Ty|A&<0+qH>@Oudu6u)xvgDzCleVGwUYj)_}x|1LEX}pR1z13l#nB!AiBaZHJl-ImH#&xl`!mvy^zsB zK=^)ui>u+&Rvu1Oo=E-<$gj zo%E0+dCXN3wqG4{&-XB7*MULI*jC>`u!?}m>amyqsMhtn{%J=B{e{ikTSXxh>zY_P zF&XRksmmuJm&-v$z^MZZ1(V6>dt+nuqSNcT^ajy#*M?v)4>gHn*cOm`Mj z!NuU$dm@<(7+3W%)K?F9`X?F8l5n7pG9)a3gq=89JzO7gPABfL{wOJ>wNdE)ZNiRCT@_W8%wae`%9>mch}7J~A=YS? zD$pFjr>VcI=j0@oMJ^tsJB2Kq1e^K|8I01jNK6{k38p>)z8$&?uXE680rT9zRQih< zLoajC-0aS6KrtNr#{c|D5%j!KuF-p;(k-$)ngJM1go56>q!R~Q#;ANm`0kpg3fbEI zbkT%m?_zqWKM9iIIq{=lrb%yTqnb;ACutEr05A)`_l3jz<>k(Qauoqj8m0PP`M?06 z!^uh+E|cydWdtfe;Jc?1A3SO=+8XC)D=?n0e2x1u+^wB`tDBddKsht6|;qMLY<(=qYu%mtb&Q?<1iG`H( z1%CfK{6o=Sh>{t=+s2=-q7Th0mcJ}cCvOfz4Q{J!P7@p)Ow|M%Ihcg3DOU*uN7)1@ z8p1}=gwQa+g|b0B4aNI+4lo||2lW>ZBR#kSL|qzk8h=6X&-CxAMmLFWK1Q%s!Jq08 z&*o$55L0+-3=(sg*C>+?!9qG}B#`Q1&%$EOd<=D(QwYt{w^gyvuggN8pXYhr-w*RV zKcA-AUfzvUJ-qA3+PIhZ|6jB0Wk~f;!Q7UrOdzYiPn}7(AQQSlyXc&#L6U9A39ASH z9NG9ZT|UX`PWQ` z@+6K6yfO_L!=T@-F(5;g&LGZE)Ti@$C*3~3*VuC$j z_dnYKTH!8TqX7qV5EiN+iZW5^zCiH0Jk?qG+@Bb58^|lzrKsNSi$jayj`47x!$W))%U?zx*+qk&#WO|a8}O-y0G(hu`~ z2UMyJRf?BdR0bL+9;w(t zau|qpaJJv5^@!e7Qe65u4 zMhR!bJn4SzHj^py?xY$ON7o=hTzPg=EqwLSd=sfKrh=^v9os>dr6NZatR!yK%7(wM zSI2tyTZT6T-Psf9y*Ra^5p=kdP{OD$7Tx^!(uE6;w;trWQ5n*~Zaes}hB3Ni@pwZ*D9P#{e>qARb zzs61+_c3~0*(<8vVLKMP%y_hv!Z^0gNNg*YgY>hdsND%V-^*8%8T#BR{pMGHcQR*v zFgskzo)y2EX0{PB?D5+i^mu>H!rXzCe0AN}Yp23Ob?-nq2^W{omo;DhmQH>0qc!_J z>*4L^L{%!VYwc||X}P~VvgkeMnAt7&JaxKCEX&6tsjEXdV=$?ICULXtwY!^VC)1Jg zIC7`6zPoGpeb%^AzUa_=_Sky4c3WSuXx)9+yYP~n;>R^`*Enn+^Y882VO}Nu;Jni) zhBlPao9*t(4qB;v4c~5`WYNC9{1(JV&9cVex75xJZ!_o$hTL++ zv5vlWaSSrpf#2M>f2j)JHW%cgM*Dlr=U2G&PSaMljnU zKkaI3QZD~z^m*L!BHG$jRa1>Rysf^eJXp-3qZeZI*BgcMu+~cJ#MZjfiO7uw@tS6G z(byodr1&P4GFw+GsC+d5HxwHnn7U%?9N{Z#x$FU*BeVQGv>G|6oK|_{$sD$|C%Vb9qC(`Q;?#&Wy{3LWBH(>eb2yT?jdo~^x%E70lC4F> z*`E74FRw;7wOKMGQ^}~yB1vsOd0l;jna8p%wb9;iy}b4FFhH8k_!6FEX;o>ZJyg1L zAllp7Tw>4GTre(-ZBU$bt2q<4w-9qT&c!eRqkJd3iNDCrXgpm}zmucmhJ{vO+7fEeH`riqb5D zQ)^D^c%A0#JG3Ho*n7qg^^-kI1U=L?njJx9jMFStTXUI|>v&!1_#6tY1Y&vXx%$SW zc`TLH=HqIdB#8`lCYReMle=4(*X?2Gz@jnoc%ab?6-|yNmvysVzS3qnT|b{B5wTFCH-=MnNI$ zKKe=}N^{E=x7WgvBXU{Q;EtJQFA9!iGn8UbrX?CIA8Y&iWuN{lNOTo`s<muq)o8YV8wC(Id1^XLQ2O)CxyFGvMNS(t8v8mM_~87 z*#_qM9L6R_1?J`{bmd)zTdwGS;#XcjqZ8L^`&TzOZ2^S~^2Pu!O&ST0rzxCDeYq}` z)troU>!cT3wNoA&D{Lj19FGmAJgFu%H$FEdcj$Cx7pMA$tuQAl`Brm|SaUP1IhQ8Z z>!*^i7anGHPiiP?pDg(Y@iMGs8U<0viWH(tdCJ&bs{8>#xRafoHKKAH&w@`H*wpB7 zot-ry#?&Z1DrdN)uFD{?(Qh?XiJi2t&ey&AwywQt{yo|ta@M7N|BfQo6pcB zUHHF=J#7CwVh=tO1M7dVt#lGMEeGkLLvO#4JGN*gXU-uB=9;mN48c;b0Ts2*p`(nj zUkUB_#3Z@W2?FRAex*_oaWajJn!?VuV;V%@x>+aonRT;;+4nWD5{mvdJyFeT!RDYS zz_&ggIhafHMOFZX^9njY*oJm?W6HVH6|j}gSvZAu)(T;@b*p~{0b|0|ms!cLft9As z^tb{G3OC3U3Tl6PATj%4TbAVxmV;nE)BV$LH7L>b za*3U5h{qi=*Pux{7El{-GG0e4&?w|G@i6khg8(CQzARcSi9(le8 zScoZKg}0FC7+1@)(pfXi~Xo;OC{NLd^9XFR+^Nyii|}$8Gtpp zWBxF@+T6X^tMw4Yzw5$zjOafWI}3xUPwmEAb$KXQsyjH~KVmqj(EstUrIW`azqu zg!qNL;>%G+{7QZ@Cyfj^goGry{KXM^A|hlcC?S@04EOhqkDX}Yu(gHbh7u#FYE_MbpA?07+gQl_7YB9xM#y78maXN(d^jb37i3`(_@R={51ks#?nj5|Cw`#D6 z5?o?OvW`G5&R&|H*wc2Wd=rpcx3~(bnF(r)EC? znq%P*uONdwS?4n};l=#kyB%8}uOS{bvXE!PyQugbn`{G~b(n8~H+(_`BFDp)(^w>w zR{zq(qT8EQ&fTiQ>pU)-V+6`^qWASz;KSRaZ!)YMYVM^6M(>WJNbPx;o_-C30T$(ge|;yE+9 zdAsdjoYmy56xssdPk2+ooh_vX*#1x zbdN&i71_n*ltZ8N&PF?7dxP_kp4<@{(yV*$fCaCD-J^ ziK~=PwVOZlM1!s@AnF>ST~kqH-HtG>Q}-~7CW+e${{lW(GDy`OcdUTAr7TdHg^#>n zy`|q%O#T>mtsMCTMLs5tO^>ase<;jsZ;kSv2aqJ&x7hZ?yIIl+Fe}?d0v8UVm@pNU<|1H3ER?kSy(aa-}$S z%eZy4%46-xMs(H;J_3Gb$dugPiCL5v%>LzaI-%5Ut~r7=K5F5&?Fl+P#V$46dPLS1 z(|zIjfIY$jT;<=j+(P01wSe`Fd9i^gC#$cqU+WNESiFqn%Rf@%m)(1(+*>qYf_oiD z1e-E-C$cwCGoC#63U?r(E2KN@5e52WsGe9phhDukhuVSIbmW2`eLh;&v z_k2bFk?&8L+znF-s?283wLNmj_$tjX)=4+8Q_l4^j7zp0rI~3 z33JcqOSBE+a~f}rup@zO4&dhX;N5mrsR{BDuH)wKC_o%gfj_Xn82}wX4~RSegOtYE zcYT(HV~Zci2T^<2xreVmbve{4nzq}#6+2pDTkT1yMPC2+=w3IE8>U8Lu2#0BsdGOb zRuf0VtchHMT#}v@`+Qgj-T1skN@cuigDPkEu9)wukv}=h@zR_T2OroT41-l3F4W;J zUTmqQ{Y<;I)0|(LKA+2rbM~Z4BB@^{NAE?+ek%>V4JUpWBi}Rg2=VM$+}E<$uO4sS zoD!NB&Z_GduXEDh*+pH?0L6`V&BhX|&E}j9+!vx3My|2E^d^tQ{e9fH(lMsh#-YXm zrAv#us#ojhCJ*o2y3@x3dE^KS!wz<>DdfgsLIn~_5$!EHT-q!Sr;8~jvv1~*-G{pR zv>pk%fdSKM9Mqc75Ijy)R+$Gc=D1C!b*5#e-Nq@WfYP1Dk;dW03yW80&z2}4b#@)( zC=5YzC@Rt>{X50KiUsJC`+2EBo_2a~EOko0j{GqpPWOH%?=Qv_F%s0Q??#y^(^-PT;2 z{U2+3b(L$&)?}aDm#3%qvvI~VQ=oxZt zq-*54qFcB52h9QNOxhmq8R(hl8JRt$dI$LubY=UhHM46NyrOww{j_`WdV%#y`woC< zAi5&=itC<@tv|F5Ct0WG>Q~He8^Ji;8s6p(JAL5hjaYr~*6rizikCYny(i=i*&f9; z*)>G%%=1b6X0hA5EKBMj=O@*XRg;hRv@c+8M1`);!?*%`!T$vPMGOJ}Zefh7m5oE1$w6n=+?t?P1Vl#s_PxVqwP=m%MV88Xbyfcry zk#{sj!6As4fM>E8@Wdp01BFA-)5v%_F8N+w3IMD0Q>y%?E-O4zsHoANGp%=Rcf{|0 zD5r5O=Ic&GuzO7%S6pu9`t<}FR`m;0SC74_^lQ9>yBs)Z+~o2G^jM7nw6m$sX-!qF z>_8Q7z8TVNwNoH@dv^U}Fu`nX*-Y7uV3q0CJPi;S&qAN2l@d;5DpOfswtXtDk>b+4 zK&QYqr)$MU?&87L?3GqpMmpt17W|EYl7AWFlFFBxG&GzBI$+sJ-z)n2KuOra==w+*7-&Qq3?Q{8%xr zp`cPNQnfmG!Mg6iUfenvm-|kG1;#N#YCbbGv7v?W^AV6Xg`KzEOv6K?Ba(mY&3Kce z+={i;**v$5$+8g}yLjoE{meXTO^(!QA3an!m)cCoGh%k&C~)MjXo4|HREUU7!LpV6 zX4hH%MTsg#)>L!F?S|>?hRmj>N^=ga1;(>+E!}Jthx!K<=tGxP78r5dssQ<}`8|XOy&)jtR+H=xhbgXBCrT)4x^Dx3V_3 zDjPfgsfW2b7p~K^Ia9K9$5mBLi53a=8s((`=IWKyb0u?43p=?D3rvw|$*Q)il%@YB z87)(IG)?MB$3uIol!@p+kMn@)LaKeAqA50L4W_r4_qJ_S>~?zS^sWYOnoK@homoFy)KWjdRyjjw%e+*koS2Wq}Co7HP{ zJ~3>StXgyyI`p`dXm#}-d={7+R$BD@q_UQsQ;+pFw=AFYmQ86=$8H)o$WgWG^aZ4S zmvHL(NU7`ihV)Kv4_Yq`y2!4JOV52fAnSA#YGgG2{?X=hzU5}%4>0;Z8inu*r{lS& zWU&b2U5DDsyEwG9XY0RAyK8ZS^9j%ugGMbzJ{J90-BNQP`H}iLiTLxblLtKd{p8Y- z*L@XU%L`JvhnyX#WDhCZPr?3wPwxBx(!YLfyYhNvvmGrwT>`S?92IIrX{mLdu0&=n zQ)W@WKh~YlXfhO8O;p#D8+>I4zoTXMPHh_6^uDJ9%tKpP9>LOwg)@HR(xS+)%=*;W z*-JB&ulH)GaoJE5!-7tY=O53MkX`R2n&5lsZMgGpihN*o`HMA!g1pL9FCFg&vKO1f zu)P^+CVUismd98iE*plz?0c_|%Bq}xPxr7cgHiYZ2 zfD}6>xX^P8I*f9tLSJ5Zx`v;dv_Nz!{003dGU{1a`<9grODEzlt_~h-$RQVDsQJ}O z=ahV!IypAzzP&X|0RuVGB&90#!e~8Ps5eU1a}7UX(I~K?3_B|Q@D}R0f|V$Y9yfN5 z8ZE1KyuhuiQJI40u!R%n&BTLoe0Qz z^fX2sɪwnh@;j{)C8?Kk}Lto(HI2vX=`s_byE>39N|Hxd4@c!S{J-S7+@0&pp+ zh;)Lwzfm+X6V4*|xN*uVsxL1us?J;tnXcg|J@#(LVbp9QgFnG^xK^T(GIbvTV6~zvRp!M~-RaRByn{(P^sybcmHMTz6p{NOYyNLYJie9BR zOvYV|I0@$LhQfzj!fi^@>HsKZ5rmU;B)5fU)^Vo83uJi z4X;Cn!n<~(hYL-`2w{=z*{~UluQ)cN6k%l!BWaUK@tO@4}!H_(~Qs0k9n$HHWhc&Bt&<(@(d)rJl%HC6N|ZT5HK!-+WqNkbt*2`pAiv~~&L z(JwI92^zT)N9I#aaxuBY0+)rjhEgDiNUO(c_yY?G$coH8{o=Rk`PmVkj4ICjWL0=`csR-% zIqp0>UglHMShF=_2M9~wGBTz_{DG0qIb$#)?Ep%6!NdV#pBlCaL6Y-yt5Zaz!udu? zOw8+@xndIZ!3o8Sj*v%VMUGBciw}R@rwEPy^S5nrs4PUd5dteh)?R;BbNgW!!hs99!74)M{rHqbARiwCc&k}(JTCajC#yyY*G~WtUANQ& zZX9*b#))wS?w1jOoD{H{Fm>c2ODbT)e^3h`tEvj{dw`skzFBx+Dc+6uZ1;A8D)F+> zglGd)ayt6kF_vh#!S1m`M9Tlc?{Ml2_I`6vR={1AU3ymrpP@TAunxI+L z@Cm<(%^J&~YTD-wJkOOM;O2MbRbq7=@C%@f3Gx`_^4a&% zIk4)H<(%MTTw3-ingbJD=k2rg<&{<9<*4Rz`YuDYb&&*R?9tMH6s`C*;!~kfqU1e| zD#!$5kDaL@j-%{}osVUVRF_aHq7LdbL{i>fD(pZQ**aoR$|zOKz&rYXM{!)`rAYyfHSc2Spo7?wd=j%C&F%CSq%UEPF}ZxN1Tj!-Ophey->6CyKbh)XM% z^PjHedE#5BoK^~})=^~Hw{y4a9iF$U-)!uRhl6B!g)(%{6g8pkF=f%fEuE^yZ!R1- z>xdQ$Tc+Q@jLEwFo+~1fk%fj)?7g4NrtRg2SIZgKz7rf%bEoKYqp7RAV`Cz&K2vHP zSXyx`Gq1=wvn@li$+;RC*%PVqCg#LR`KCrA9dMX;h?tl{c-kg#gu~eSr-Db6t?@<+ zwzL8#X4O?kqnGAtZpByAFF3B;5tKZA{p_IsilN~h?~igUb{f<& z4r*|W{6<2@MLXcEd@O0^d6M08VIo~vvMX7Pwh6fS<~5=^c@porZOMKwkK33w|4_6kvRyr;u zot1BwR3oUE$x=VLLXw5UvV483V#*px=Q)&1lNwxiiIz_Jwv@cKWf*mq(sqfeagLnI z68y-SR=zYX;Tt^_bbBzUFu#tDn~`9*3R_SCn{hDRZkY?Sdy~t};`?chwXvx3)#;Y7 z`NfOR?Kk`HvINKft}KDi$jI~`WeA;k0m~tJXpvXmkW{9qeu))=Iavzhc?*jTVD^?o zOw4ui8N$}ut56DM{h+U3q}_$ppK`K1{$7VVVA6DMju94XYXs43p7IXBQyb0>$IW5k zVi4RHmi>iAWaUkuvww_`A+Bs(ZS?!9Cn_#uIi_sh+MuUF)I&B}aR|y~mp%JL2|Ci9 zsldNbuID*tyw_+3KiGI)!se2Cza{nk`T;hnVnz|MWjed^IrE0feDlT~E1uz;%BAs?9%TI{d-GK^Z@#2=8F z(?BWJ-Y{OHi?9VCVxj<1tY7Y49$7{n2;~X#M_FDQ{)v#Xc$(`}LKD)n_qAtt_xAhr zJKlL_YJE0?iRqv06Nn#xA6NySe`5@yIB9h0Nf!qGN6x=ag~&|WsXFIt9@<~l6@bF# z>4*Wzl+^kgxaU*FZ?;LO7Gdo@zX{s!_S5EXe zNV*m{d~p_cv-JZUoy_jJh<-m)ut$%relM>#UX>cW1CS!Dt;4uvyLqb}3MEX&`ID9}Lf?_@uz~Vs{ zkUYgw$Vrobo-gQYgCw|En-BsF(=dU&cxs<~2h52*d_n)HZty?u9@a@Ge$Amz{kMMb zB6vIaKcj+>NjHKd0_X#G%l72w0eaFqJ7Z07z9gA6V%Q%{hn{~h4SLLdi=sROy+Kq8 zFj9SArkBlGfCdk&t47rg(960ni7LDZ6FDN_^$)VRkXTAs%?hIvMnX8gQwx&AQ|+9Cy%5gh62TUqs#5Ii6sz zefFq2UbxR;qaVN`A_p8qL*PIxf`lG$jwPT^_|k))Ti6?{eH--2*R2LJZ9j7vztBBQ zC{5s*cT7R-X^3p0D$C}Uto?wjJy-(Z3ivpoaKSSq&JAZO}ONH@p@!H%7=0oje05;>CP|TI0Zv3OyZ% zZ&2{LBMh^X5A=|r`(M}aW_3;t&@`gz0ZuyBCrGC-Cun=^uzl?{4l(^dzzCiP?aBnv zrn-M_+`&cbq~>(Yfv<;{sRzIHwfMdPLCG%( zfM*-%PF8n=R~_WBiBJrAZk)It9?`i&xN`<#=ha=K!w+8i+z8{{hOeD-rGFuIp^JFc zM;XFaTz>5)f7$r?P+AM8w{YKdxlzIQd~r`W^WOrB2--3kQq_o*35Y3@#yOAK6)Vx9 zMImlkgC(ZGa)j}W8$G%6?3*6TpwxNQzYt%bsP+Y1sqZ+-11UYBQK<&LY?O2 z_5oqNy@!&t#^+%e-5RM`4oy9}F`TmS5-G?GA)ar8;Im=+QG)dpVslCQIU3r1Qg6YU z=E0+a9x&TB2=i_SoWj%CCQoG?Id4ecmv*Sr*o@w71wMDGO50+=vR-GeiCP`DL>;+C zuce(nvm7daCeK)tjcmi<2It~+M??2ywGY(@w#B%jPkr3*@t?uF@R$FzfS>fCQsA8X z5rzk#+3z-L-YgC9E1mJ$EPAJbP6{k~$3)uW!6vMhCc5@{*8|St z*!=C&;VF_@9w)5-d8wc>Wxwz03LvN zLUZ4RrXPP5!QG0n@>8Q7%Qx7hDJb#Oe7G%xCyp-ch5TR~G4LbHS1Xm}34eeG4Yon;#PijGbq0MgH&yYLl8}zPOr&r{y#|QcP*Ox|QvkBP- zcI<(Vc@E!t^m|IMGZtT&Ag(1yr%DhvHtBUI2gK$Iv4(Ge_0AC^0at`;>N`Tr07EL& z+XB04s3C=LLn7OaBb7$@TSjQx2mX^w$Q8@}2CP2&b^5Re`W2zAhuj;URAt;3V9aXI z7jlNq8!RiB%Yb6S0qwYOEKFn$^%g3y0WD~b7u?ZT7hLs^04)-qfQfZ@akLGgKTHBzF9a7Ccz412{`*((-2IjYAhV71;>cfZznD)n9`6We zqm$=i>3U#78niEZkVxzHWyr1tS3W?NteCTypAnVvvIq6QbnLd^W2^Ma=z$&0=;wSu zbPui%*en5^9Cf;LsyC~UN6oB*GFBxZW362G(hl9(JqF!vE2%E5wfA%@WVcCiQQz)Z zFMsr>;MpVgAP!1?oJp+3*2Lq4*z(z?NVVqrxFLAPID*N9?hv06?`4C3+(*q4IKZ#f zQM&@`0M3zze)-oBrV4LULCD}y_t$EPc7_juV4J9%_s}PEMb*RZbe(jp_ME;|Jgndg z_eQ>K%MSQy!7w?tws7CK08cObY{h&D|5DfN<4=d>M`F19La5^g8SNyGdMvElmc7Yc zzRU5)@@GyB;=gI$*1vPZYyCp8uS0gS!*0X;id}y00_5I$2ODPe@(H+$hdv!Cg^O_i zxraC4oI3D-Z%L=KJR$2pQwycJ3)kLp^zC;{MuDc-f61D(o7wfQ`K6F^>vyWo*MQYL z+BZ-i3ph6L3eI|*a5EqPJEy7gx}5cR8SwMZk0<7)t%Weg=%k=~9_B&{7&+7=I_9Nf zZ#s(mOG|Xu;^>D{b%cLO3sfE82ut&+s2Fc31C3J zgM!D8c@PlZ$$W_V4!a(OW!&Q@zoYfAm73jIKJAjzR)Zz>rt`RJ^z#cL?*>cI6=Q$* zd)eNWvd=m&c0DBVB=j&B#~u1J&T|LAPqdL}RSrH|h=UpY#zZ~1*;x(~2y20q8YA$9 z%s*HwE;syOBmgdt3W6o%Yw{=c8sb?cbOa0iYWEJdPbImmk}L2VtA#)r|C?z*yQr7{ zi}S!`?Z@k?75*Np|6^o7JujdR?vYR5ms^lv!3sW%NLBz`h~DV88M}?|p_KjUm-FZH z?XY+FtTB4Xh4Y<>Zpg_tmf*)~%RBcMa2MN(Iq%nRpgI?0D>%rzFa4bA>GP}FDDRhI z#A3ufSEf1u29~)%uKd`S`PHQTm-;h~fO^!f0WaziNIjF`HK+Y|!pH2UmvAT8y_|UB z5@YJnyN5Qu78wJ(LD1h4;3QrV4M@plZ&v@^Nd64`am>3RlUteKOQ>Ar|cG04}~wCZfcWa@=-FU z1aOhV!XJxBW;spj>LgYvtzw*o&jp6_xO5Q)zc%O^d$nk)m1CD?r{Z=-?Huj)nwgrL z8i|_sn&}!eZMqH-xAe`6SQoGD>#d-z*A6@vyNKd?#VUdoY%E+XjLT>nq%7=Bsut!J z>evyC!!6u9`M4FMCv|SuxIv^_iF;#DN1j6-mE4fr;#`zmG43pGI?oComt3D*;M`Yk zG44FCp8FF|pPiZ=#9Q%O^V_z^1CP&l*|f9b7nr90<+*%<)_f~$D2H$CVN`~N86M;Y9i^`k4CR` z%$8K1nOp<9M$H$we+r7I+DNfsDT0}Hl04;ywCqk~C6vbbVwaG1YubMlZwQXGn+XVq z8X%5WYDe2mm~5=Gp3&}-5GT?jZDDKaa3_-bLgShCADGjRW_#NhvqCd1s8d*i)1Qd! z%cX&KM!R7TLZ?`5Lzq7tX-jhm9H&-iD|3Yg;)6aglbEV3+MTX;MrKFaD5u(cR>)Ig zz^I<#J)}jTvJ0;YM9}|OSV-LxBrMGqlERL#TVPE3+|ePOe;=Fa zYI3?hz^dS)rq&DtoE_gp)2({xIwfGm^#2G#2(SYR?--*4^7aVc{_qCI zDX8`a;q3{O`8%S6A<27^4Tz@yQPO9W4v|cUU6d~|8}LXES>VCC32P@mt?eUChkN`B ziQ4yiM|4GEHEtA)7(h=b2&_$XIp+Dm?g50EA0aL*kPMG6msmQsXbikjAR{cYBrMc) zgz-SF9k4rQ`he|`+r{4!Uu}%FE~`sSmykAZ`aUkJDC63HYadNbG${eIF7pzvCQdVt zz_TuO5gvdZ5s|(R?JY479?~WGl3;I0LN#V}glEiGPF9=579O)^0QM&FCxX~65tulV zU7R_Bz%C)^4oo|wcF*d7nD&6Q{>bJ5)*}s@@HXLf-qe@>K%Dy?o{mE>HW6-I?8xW= z!V~Iv!=Kh$e>RnyEx~r>tuj;Pdd%x>_6xl4L*;J%mRpj9oC1e+62FpX5igp*J zlq9j66?tOv6Idg;Em&g;2pEr@M}lPs8Zr3g@C3M2GT z(IF8aqk_o>f(E1vn{UEz%IowzpzWuRgR2MJcF7FG>XcXMF3|K)^f0!Ox6$B>YWyg1 zQTQ=>5PMLkp?07!f};jz9?aihJ~6sw{7ckN>>u3UAiAY+PS^zLEI{eD=|MS~Gx$bx z%^B+GY-lz{S{gCdq&+Gx4E$P>RQIQJ2Hk$N18805PYv8%WzY31s{*TjYBPG~_H`U< zKu_pAf49x9e<(aePyYe1Fe9k@kqx}Z`wsmFzQXuI`3Y-VDX($=0+eU0$DnN0(k;|? ztj9>dvGD@;J=Aw%y77AT`2ymJq_fY@2xE=xiRC@yGfLO2H?ek1(=Ft4#LwKnuCfZq zO^8ibjMp%qh}q$ z@*vpa$%4$=Z1=56sosR+)Y87YH~! zHt)gJKw-f%EKQHQha^=rCRc^cLwfxo+Wv@qI;Z7bG9YE4bml+s7rxIk89erWOM$(7 zbq;fTWYPpWEk48Js+B9e4>u(K{w|ls=gR_LLrL;{-G=+6=DAxRoA(ShYaOjF<6r=o~7kPOE z0*-f4%4PPiv8_I%+CBSpJGZIV9uw|ehdkSNct7Fqoa>YG`Qfyc*Qd=5?n9T!>G4Sk z`@Gck8e1tRrK};)S_*#pAD@X45uQR~k_&e0HnK?@X6y&vujnROrbq)RecKb>*WmwjVXf?HT7Isr)uvZ>6a{2GaV{(ncVDZ1{%zjbm8r0o`r!}bSB|3e-(VS6bPLudT47BaF?c$!PxV{6eoA}e&(G;hZkm3Q z?znbkVq0`I+8G_T&=)G!@r#6qt;4e0k~TRJG78#$WjUzH+&aku%r)LU0>m{u`T_bk z2R{U|=X3q^9lr5PdaJBGiY>8`m>Xdo^w@EL1!O0%@bQ` z?8v+)C4EXt>WH9j-N-n`d&2=En@c z@>l&HFxUnoDXi#E%hWk2BkL;dX(#t#tOZ!rSf1)3hb8Kei2LsPm9!qt7&L_*#$6sz zEPoet&}!&2GS=jO&kCu3H6$Y1!+>wa!z{bo0ALiq>g+;DZ`psk1~X+ z^E_|l6GOaIs)ZtysL~MC5qj&3)fwrOI=8+}%hvnIwxz{ZIzv)VuakX@zglqaIB4ZN zW%|Ha80aurUDlW%;an-L`k}5NiIP1qRZiSo?*|PQWe~oWz|VM`C?oIQ<4w0bK$3e6 z6e+!h2g?z4gBj>^Y?Zz83$$CR#Iq|$8xl=Rngc439O;cW8@eCh-bNb6Udg5cm2t|o z@ei--3n4w(QeCVz$lzfE;pmA$5kVb{G9za(3^@m^g5e+&H)GRegwo^c2zC>7_EBt> z5X@h!km%CpY9en>omyDa@ziL|-M4g6hq~V(9G`gtr?|ngnrC3qThFnFpr{0Go{H5S ztCmYv-0KU^{ZIo2Ok|+=et`@1LE(fr+>j^;LZ`fd-q(28zlDARgSbF|2HF8w6d2;I za;UnaGQm$o8H19(L>NG=PeOFPl;1+>-REl!AO`$E1N=g+8BWs}^Ku2@7!5MR>VOOd z29ScIAd&fkt`}bTMdb}E83@sc5Plv6Jopn50}1s3MkeNgbcKTJ&;=j3go24}9;K}s zB^~?3u{d^NzpW{*ve9||d0fQFD%`pGe=IAHTR8s$!xS#5W?! z(>bf`(o}rFlbfqs{XD|ShJkP?e(Ck!7hf-hk?XlulMnhm%%QN8{xeJpmpKDI0|F8w zl0B6wjMMYZy{YNn7${qD7mh!vi4XhFKwA|I^Fvw=tG+sm8&j5!d?#npLUWPAJ;tAJf6|86NRgrjY zTgTlajA|ac$7)3$c#c+1&*(HqtMJ4bjy>^B%i|(&@5olG8jbPW(+R2^CuUu_y14YX zNZ57!qQS3qo8GsGbFL{WbIum7p@dP})Q;fF>*wm@SzLn|J-@=-eNf#?_s;f=_0DPU zSJUj8!8gaIC*qPI-!9w=m` ziIM77s#Kv#k&+xsR)La|w*42)kRkA^MM5n0#T^`yF@s$x_s)EHvj&MQgr}c?9CbD@ zKvy!L*N+r6+TpbpHoB6`s_XY~Tg{L?{hvTV-A}wE7%Q{@ps$Mq;NHR=z7QTLP1WEM zzmBHVf0ydgz+D!KsP3xIz(l2@G)0_pI!z7bkQd8Xo=?2a=E`z$SN;jf!Se^_4DWC* zP5!q1eL~+YC&d2T6u@($U|-^G44oMJ$I`D`&qkCn<>d~wvl$2X1~_rzcE;6#!cJMj%hcN=JDPTT4hBh>VVl7sfx>osgb7Yb zL@Qavp_#m^^9O>S?yaWt2DO_k=olNUHgUUjB5lYah88Up+pn6_h-32H8%X}?0J=C zwPm~*f0?&y9m3nW=&(^ z%Ouf!Z^4}3G1;B#alz&H<+0ZF<%RiO$%Oz*OTVOk1rW zctg8vAm{s@H((b^!^#l}N<$p#Fx&t(X5tet@A&}ab3$MuB_AN-bc~B%0rp7K&YLRu zoHOTKr8~y^>xjQ>0@5V7x zBt`PUl?+j~jkEqSwshkh3F2u)@ZI1|hKUZ0u396V5TbYd7DxxB8Pg3oWrUS$gdoJZ z3XEXPPyvGm`SB!yU>|g@Q}>{lg~SdIPI%$ReUbby|3}(1j2}1P#VL!gb_q@}trS9+ z7YMj%Q<#)6koiYl(+9`m2nXvmXs9Hgl7(tA7`}VH0rGvomxhcrPhc0XaIZ1bgU>#@gy%>n&|U`< z!r2=)=suFflGLZtp|k8R$i7jVcz`21d5%BJ3#3={%2g-MBmttWH6esEfJ8NoB35BTcz6>&dQtI&hNX+IF9w?sU z>rLP_h46{b#|)j4v&(c(2)SeRP)DGZgI6@veGp7iE`S{C+jO{hR90Q`PX|kPfKLO4 zX9*4sfoi@KJ+R$dFk<_TU-;qlkrFJz@pnD|*9J}kJ;wc#LEhWU)|qG`2w$t9>m|m3{Z?hOo*iaqD2NvwpEY~VEbI7_n0JH zK*A8_EetpBfjdUE>G}lu=a#1?$&RJK%5UXTZFVQ(Ay5UDZL z^&_vLd9Rx(9eMs47m99+CX&u)Y=&fG$x;7BWrpEukLQ)kOA4BHNpTQu z3%&lO%t8@ms+T(H)v#;B?Vag6(SsHiaUF3?+RQ4bMY|*=P=vCeIVV4-uUMr~y;}4l zqB)RPIAG^d@+gjX5MB5CFNWJ7b!I;?qtWZHLr>0VWH04pm5vbF5@$)c7z}gC*0~J{ zg0|p-&z)1jjsU%aR;X@CAS_6fwpIj(1I@(L$s!CgIu(>x z_k{yGq8Kum>wd>wR>eA5hd@9?j7~lS?C$Mox zf(E$D0m4K%KMdfL$0tFmarB;02m{6B!$J${IjTp7U zM{m2~FF}Q&sKxPmajI7#6iDC-n;FFxQJT1aU38ZMF|yw9Rv|sl18p3EUx(LXO{JnKvyzCspe+XYCnN6iH%3BC%{Qz6#R7?kbhC~&{q@}E^Nl|gvm&U49caBGSbr#{5cAdxgU#^A zjq*U9F4{N%`Ccj+RBySxHf&v0x z(GmJ&Blu42j#|3Mx`J#nHB`co2z;7N@Y%bcj3A<=D-jM`#J}pl@&gRxQ3odgo}pN_ zQUK5H8hbTTx=a15J?su=XtHwA6KHuE1HG#8dyx}W3T`qDR*kyL#jLI11I=rkEWzfT zKTJ`}MmjB9x6I|7DVtLzx~;CVJc%KgAw_WxVN_qsw5eA|C%UnpT8Q0c>Z~bZAtk!8 z8uBw2+G@*tra7)N32~c_8t%$@ZJhFFz!aCIUS8`sjfeH=uRNkLt46LIdiBxR(^K1Z zgKKdgHON+t#H5YZ6^q3))*C@ITv7BzrD}Pymdb3CZ2RI7?gnVm`8o5yDDRQ?!%M^{ zBu?9UcJg9k=+8Y4p5tIc?IY*cBg+{&RWdyeufPYoBX<++p1e%#xhda~Gntbu*<^2S zW6}@K2$(lZ{8QVuE!T4HE$p=0D8~_xkcn%R94D|U<8J1UWLOdD#lg+zFqT>}+#cLd zWOnLqqm#i8J@E;NRc@?A z8&-|$GTWfF95gJQ!ft9}oD2#c-B9F}bfKZzq@rzvlDp`YbXCG(RWkO#mRb#uevel- zRh`u2vN%dz54pN%@^D#(wJfP<^s-ng3HJ-uXoroXoBE1h2B*RVE9qB$#-bO(Thgo# zPdD_I8zU<-7v}iPL$lsPZsNnJ&ie%QhPjbp5WQZi&Eit5^mO>_qYD;Ge41QFX4Ky! zk{C+TG$)|Rqp12rCfu6&-IDfFlDM-Hj?C=g21)97EL_?)AK*&f`WAWM$`XzmsXSdU zw!&8K*GEN*h7F+b`;_>3IY)t+NAO{ij0A4KHo_lq)=FJvAi6=Sqyr5N_^V0QlyV z&iQ$czYk%=aB&U|B39|!J!)=$zq2Z3XXDB-L#}m{0vLrpZYx5*$(vBq`}V9_~?bI^oC4%N%9nepu(BhvFb2KJAeQ7Y>Hi zcK^vL2oP%`5H-S!t)gJ+NdSzn?qeQms75#f>PB8_JQON8^q8%ZjgYW}-myllK1Td4 zzf0cJ^oE;cMjryjs*$l4&K{&B3ucL{lbfJT5~K^74S>)8s;N20PHLMOBlvFT~LAg3^?tk-2XbfmYCU9$`Kb|Ci@@yz-Azapb{kO zzOdHI@Y4tdyRV#|-VVuf0@1SWrQj2rYnkxadN+$Y{b-{%_A3wStMwlXjg$M1?Bc9> zB)lMP4lHBX{3cIb>cU7DF&y3CJOjr`+d-4|efC#q4E`U2-bVCMeh^}bf|QivSh7rH zo%lMSSkZckyO}UVn{Ju0l28LSKjK=x~u-$ie(X`KI=&&5M=K`!xr*7@?@TY$Z1Mq2hd%5`_nJ;s9D z>0d}`X?4jdgR4c}_tyQ+M=I)|s}aojBk^V#G_;RoB4&|FjT&MIFzCoLwA64C{n@+% zHo&lAR&L3sY}4gVx*Wl-``NaSx2M?3e$})Nwws*1ImA{Ym>FWLEX>{zyCCi{)ZR%tVT<_qYFY)0S;r9D z9z2pMI++KX4X8k!N*C-~zhxU};aW(DwL^#bYMJOAkt>dxhHl86whpVQm7THUJ%`+l z)YN{|4CAGL&;)3WE8ZWIzGmz(mmQyc@RATQsx{b4qe~tw!IExa?=V4&LEa8j-vS4O ztb(i({X%7{^76?!*V*S1Fd_F4`8Dko)mlCA6&PzY>lE!^4AjI7?1wkj1TB#S>Sk`; z%yJPW0G-K*%37h+sYhWKFMXJXj(&R(=(u%x_P23r^IX8`2Ri?gO+m4Pv#3Kn>~E3+Am**zmr_!G*@6;&HDq7Zd3t z8zw75y(>5l1JX0{l@T&$Q(KYXme-E;Zt(TrAe10^g}QGl%N@YI=;z4wb_^%Lz1NGC zo`8>GV%>j9d{?->kv!t+Q6_G&{CwKv?ybY~EnNLcltSGhRKpFcD3#U1?2cJ`GNiHT z%LyJ%@|kSJQLq$ym9oNatZ0$0aJ{^Xl{+W{&6Rws-f)^1GC@%rJ3JuA89}b0B7e!} zdQ_=%sHuc7G8V%Xxdpm~zUuE`S8*oFZl$@5 z##TP1bX&Id2=*)hINN=RrQ=iebB+@bFUKIiu&+YB*>k+W>QuXX&C56~TzIepit+`# zz*1R2Ju2_ji z?h@VzsY{+h6L~@TVGUj~EZNz^%@OD08{|ozEcXJWPt?U}DvVOXqts-FUNHIkbO$kS zQYw1%?ofCO5^oG60^Zo@dQp$;`JvmFJoldwuOP(=f73wTn;vQ5 zG9rUn#A{*t@dFQFA!1}>Zi#8FeT2#tdZPJAY}>S(ra?oqiiQYEH#6-3*(_^mpjLf~MSfHWQNDBT4j|JnUQL=gbqRUqVT^~2z;t2R+QA-RC zdToD{2%y4z`Bb&`TwGwz&2TW;XVs9^jJyN+iFj)^Y&LNLs%ONDXiYlhh-KCk=M^q9 z*6x*0*CWhMp)Go9!Vcj_x6X(xd11oJ>LmAt9{BpdPeB>yLowsh&Kl-k71(J-2L0A+@mPx5WLVYRIgEmT}6d5 z5|N~0id}+KD@7v9=ufZLtP`Qq#Ni%kaeZQRg|{|5x5G5lb^!xcV2p2lbr=CUn|V**?2MN__I^3X*Pd5)Tl* zJ^5&lg&kJTkmVHP2#wFJWJa|H`uy<0c8vKuW*I4ken#SE7LD<~rsnLdaaJPEU28kV zOgl%j=4v!%V!&9TgErT3_y)~}=sR<2BznJl8s_O_wAvYHb$FNqHeVO_14MR=tt*(}P1lLTo-?qAAKCDd z5vwG1NbwNOa5=MhfF!dB(u2sM0}7b6RVWobO;A`mrFwao4%{Hiz`o294tuaDKjVIl zp(5S_go}Wah=%JzycHk}=Mda?p%o#Wt6||7`RHG=`p&B{y0fjpTDkrSWv>b6}PYpA~^# zoX|QQM{gbB@L_^E1n09A)w@`^u+5`(0#+nqSu<)zl?_ZAtRT0)t62Pccf)s^hztzm zgmViRw1&eEk}d6O;>UbVLWZK$m&ZmL)n^mB`s}y%8gY-E>N5k?CP(l*Uaw?+pB4&E zHJO^G)82jJEKBi;U(Xi1XR*5jbmh%n(v!%oDA4z z;HQ!uz_@ag-$3x(wuH-M%@?z2f+qawC!(gs%=S>}iH6SrLIaF)mc^w=C(|_wm+p9t zNl|EXXnijKNznuhlSt<<;Ns}-F}1*Od4ppu~ib^y%n3>Mvn0iKXbP)^b;O6f6B12V_=NDA_`y zXggRR8+7kJHrs)(ez6cXF`OzC_*U-_ zO(LU4I-t~S4DD!IWf`3Ql9|G!0&#_qJ<2ISoBoDw2swf&L2|7kSLQ*&b}8g6W5DIn zao1Y%wo7D>w059qGJ(6|&c)YNv`T_n1r>1Au(s#5BzQ{l1aMJ%le^7&2xH!?QZxP- zDkr5{!cnCiUMhW!bEwDA$!IB>#oz5yeGM{RKWsAcTBOlL@5` zBZhE`wniRskd4VILOE-Kq5A&5m1Dsvws1MeAP+4QU#50aXgNpb5jUWOTYvc!XhG8h zk})Z)2sJN|crTYF3z{htI;X5`++>}#-?Az01MWA!Whvi8&xt(ev_|{;yYrzx7FI-y zgwGvQb5wmTd5)3eBGh#jOI?Nm7mD;LghRue#SUR&L3WL(VROZAS>fL5Zh0Vd9bXv-&Oy>hxve3P2uU7*+f3Fbb1KmV>`n0su zWlv|+Cp{*)u4flE+=k)Kd0(&DLW-`mW+OZaE?Im;47XzWVxS%Xw}U)<9qqSFT71@O zy`uzHAG{{ky@Ga{yT1DAloEz6QT59&%{R8IWV=%7Lv7P7;^5QliLN6>Pb^P=a@v#8 zyN9jBilj*^<*FB~A8)bO?yMlcEZ-4O zHe)Ocy_9QU^q#4F6~$_8`%O z@eo+dNGJ$(dTI+EeYbq?gY0t%m*4$0{5nntw$I3-D&6U{lw=1b1}d;*_1W>icI`<+ zPqzK9KM{7kt`X(Bivii~2@GZr!Lcv73)maj_8jP#nz<9#g>vdRwmL3e9$qdhCu22i z^rjTrW#v4!?qXbfDA8esEK?mGlV|< z&?Y%p%Q5}oCMN~!aZ9}UP&S$jwoRdS<0J!Qt$M4mBRPaPU9Tpix6}8q8as0@zmcj- z2ARsuH5K}vmMZ^mv;fx`b~f7HN@e4qy4Rb4=h$xd6Bg7<(Gw;D5xF?SC0Zf<1d4&_S%d0@SIp%+6%XI$uKI*+%fbvw7+YRN)9Ib=_l zPD6roJ;{`D1a_Z&@kg?F96v1&oOeEHmytIlUCS!GKjK##R=FxvD?)B(!ffR|g=Yaa z32xe2g5zcEOp)-Vi|KNUPln@$6^3VqnTG3z9nhvB6+*fNfLXN@t1dREP*8gxA)hSx zTj3?qOvP=*h=skCB_!58Rc_3E{(pK+2?7PI ziA5(6h$IEUJDlj&Kf_GSZmOhsx52_u@dXR}QmT>G?|1W3yqGk#353^Z2Q2jHuAc&X#@f- zCJ){Ek`oMlI9?LcN6~$N`}akaTOvlo(Wi;6qPu9^hhCCHVB9aa@lvxf8!dzCo!3}g z4xkG$cbc3;_er{yiC^{be^+yne4pJncO#A!`9B1d(@bVs{!6pOX(VIeiXNgFtkOa@ zTgo5Ea^Q(Kd|b7?BeNoTWH&lTp{nHT6(L~|ogYiUA^A)QkU)=6l#3GVgZzD{gg5N* z2k<7+1j>Msc`e@rdxz4snJ*eIN}Y)H$Q=|b)i3XUgKoM`IZGw0*!MH=EC#bcQIr#k zGeV9>!@O8CVLI)zB(k5b_s+YtUR<=0L3w}Hch#OsV(TVoNZhs7#y3 zg~fvWriyjI_becP?nw*@(5j*mpK8`LA6F~@NdO!`Rm1u8!Z1M@+T6C zb;*Z(k!mpYZ#wQ=4Y_6f8yL#B1&N@p<%Vcj?9MOqg2WPvN)D-*GhwAuPpvfTmtjtt zR-0CuQepU!y&CNb4l5lpFDci_J;uL@dWd~ucNMMz{4lna2*Qld2zISqL3Gs0$^}(6 z`YYr>Yq_>TX~h8;xAAq8SLEwoH|%yVowY{j=aaP^2SK3sbwOSMAJpVW#-FS zy-L;~4Fg4Y6~rtH=lE_Z6O+f@Wd16-C~cQs(?2BpL~f4*%W??IFXS9~!-7oiqZTC_ zPd1jkqb?+Smv9f_-`6SyQ7alt1&ing^3TN^$ThnJ+uX|>8Xa=)GF`%$z-$$I>UH8g zNw~hbGhV&xxaQ_?_*nPQ>Z)>022vJ6(ukyJRWDjJTNRB3zp2(qgEm&OJA~Oct>?RU zTPjiNLMN?d(^513XbzXOs>RD%e~_^yV+ImxG<2(YL#fK3QmAI7tm#c&IqSt{ftqDY z)G5$l0&0!@`71%|PQ&FSWZYjbnzKuZ7(Q0&`fM4+xwWNdTHLE;XV%0?GWW8JL(bSm z5>f}@;(bB>h8*q4Zl+B>bRrKuIyyGujdA`uzalSpnzFs_%QTJ*qT^9MaIqH1y0u{j zV<5>4Bk+agyP48J%D7NpazJ3LUFfT$u3)l(0`n1Ys{>erCUkH(g}FoZexyN@0hlh- zAmZ-S^Wi%G@HPI;#OJxufS9{c>+~x*p9nW~`{eV1V-VmBeibc6vho|TxfHwhXJ~=j zpPDDVX8@bK0dyz9x`;6e?)&-IjHd%oLGh8p4*vqx zRJv;4Ym6*+<22aqG!_Alma1*}g$w-ms!kDeR@<(+2VGnS9l6b)n@K))LIu4aSfqLr zCVhqt$U|2lxw4-wBmQDpX-q6rubdNbEJi&lb?@;7jsI&r5`i|XaL_GgigKB!C9Gr} zSGnJ+l29zBS`-jl2CP`>6*RBAvxYrfoGIx5$2G`sbo){ zybf>-bA+dV*v7~Iy0s7amBtoi7@>roZ4enQtvulzjor=1Ek4*@8ZkXLvhD;5np^93 zjCmN=w#!AjoKtki<&+7hl7O3XD-Lgw$858yWO`2lF9ggKA0m6+>(i1$GTeCHSQ_^C zjv?0F>MfQ$u~fjT)OE_jVxHaa_Z6)b_0jUg)}sCk)C@x+?V70rB8dL5nYdh`X}DpS z*3GHUUj=m|tbs>_x!VSPM7$&spAko5k2dz0mQk5)k*dwz+QO+C%hpR5v3HpLn)3tnQ9 z=$H>_N{@<A8KfE*{=dRGeHCZaGb|Ti!wBK!mVc-%sd)6JX!4*VGLq zC+{^GJ;epq-57>MXD(Ba>r1M)YUe>w?HnIleSQIHy1i= z%nv#jgaQQ6ZwUN9rL(3xizvcO!BOi$}o2^I!bw8*?r1R5^gqnci zC8&tBp!9~b5vv1DJEn-VrnckFkD9>6PBua;=%SZvq2{;&VjZZ3kBmQsD2I7%dG?sU zroQz%EMB-oloirDgDrrRrcVF!zRN!1;i+}Lmf>oBkoT*I)xmX)#^Gv$J#73Um6m|r zLB#5Sr{z1uYSHJrTf}OV&`g973%;htyXz7p@_*}1%itNQ-=l6>Vx?qyc2S9zAv(E) z3sM==sg|lI(Sa^E|J7Knm&iTD1iAm;EnBU1y;f{nT0UdBg?eSeyH~q3#)qMWi5V}n zX^maCl&*R`?A}qRsae%Cc+)*XMtsZ}Y&6<#X=E)jHf=+IdO?!;vr48l*3p>cri7l-GFd8I_+Ec${@yvj= z)M18L=m_<2qQMN*UAPZ~vEw7k0n$XhS>~o!6)(o|ThlCT${oD;xNq6mBg&y7=aF?L zX>9>-Q`*?ws*fnip{nY5<1O~KUvhNNc^>`DQKGp;cZHMZhoy#q;`B`NC_YYRH|?TZ zBCndm)zRJU-Rgo6E>=W4p}CzM2iz!aQoB+tC+E{&g)x_mg^#Kl=`qq*ozih!Jcj3E zn!|Y}qMv29&;+M%tOCsmVV*-*K|8(U0SlWkahO!SV{6aw&LklosGqxN;YXl0mY22NR3$!#hAs+J;Q(BQ0eN%3*GQPE+dD z9r~D);b+zCm`uF-pec)oT?&yb{!T+Il+#tmgIwp>w#s%>Z$bf=*k2NvG%mg~@HN^X zDvj;QwbQ#^k)^J|xdxj~6RdQy`xd3tPRl#XXiF~Fo~QgCXI&}Fxzh3YWZhQr{&lFk zh~xoZr|*9iuWclU>*tB+*}ln>)ng5*lXh#O_nTecGVMJoM?;IVxH@5hI@#pU_Ii%@ zCrPGQnS>j&N6pReNxGLVg8)Zw4zW~!zm6OO35y;x?Fm~`aT(qCaFSb=bn0_qg}C)5 z>`IRD$H&cyhHQDb(@#*(2S+;dkKX3jerGI{hG0{ocegD$Fmb%-=Kz?uu}|H9DaYqA zmipP=O|l%pE~G2G6ed0?2+#bDroVH50|IjEn7aO z?pTFta$+vaS|_<0hC{(UIdreQx1Lf$nu4^;&!4z0D8_|b+L=vwM*bEhH?QhVZxqhj zY(`E(u3aF}wdy%cTYiI>XPR1@MWNBcSh{IOUCE?eE;hw)Vd5pe_wK1Twm8S8G-Drj zG{4;Y6bao8n|cP@1O!#$BXMU$6eN6~1tTBmZv6%1AAUEge0Uz2;fzD|MDzzke_`@w9R_rovgr zhwB1TM=qX~8&*~{aWo|*kKYUHOBXG+poU;iWI!L#!@29Ab!2Ob#2dd6Lia(1tUBus zfcd~^oJj3toiescG#?ec&a1(^EaK=C9yGl!v>&pF00f=0CO217?yS^tsoQ9YOf})w z3AZ47q;d71>4iOy3{K~>4EdEiY>#f?Z*zcizTx>DcU^VaQNG{l6G6Nzt_)5q<4!(y z-NRm!`_w&%m?Z+gdA+FIosMt!Xx=8c^tfN5_&f?#G-~Y?ZiC4#*shh*oX$Std(BO1 zwq*Qk=ReO`977?&!rbp#=fG6`FdKFr7+u>!@%joW!Jii720gBz@SedDl;o3lNlX5& z4V5zclbQO3L3i1>jmJxGDvu5})d-bT4qSV>Cyl$sst-JbxD zh0i3cG9WrL-j&lY!(^ydN<}}cRz5?UoX$({i>U6aQQU+NB^PT>cfgzk6Vk|mSZV05 zBBV&4IxIFrCs2zFf`B3gBQjLc-cCjoPivh*j7v>2M9+cr*Hsa5AYB}k%(V>;LVB*% zfxO72;9r(_qH=0NhROgA_U4P2K4>fzU|v~AJZU@C#sGr7TN6XF7kC>kwEG@0A zy{-+L9M4S`Nd~GkB^))?8E`_>2ALRIB2dpv=g=pHoEY9XXP3e>*O57kMJs5iAJyk0 zr2onXUFi!3$`q{mSwWuLP}L8joBI=+<8&IpVuLw2SAiKAY=UAws)+h02Fs|oA!@(kTI(^*C^h#t)$*!zPi}K-3Z9a zD%}u&y(uY=lWTF{vh-&N_)0PI`yL-LSU1Gs0S`6XI z+-^El%_xPHJRd(UY+m{9*RG!b?6rW8$0v5w=jUhtujAc?0&ISNw}%UhV?|^k$IWw9 z)upNRA#rx?S|}xk>>5sVNpl>Vm}Uj>o=?Q@Ce&PooT_e zm!?E3SdYx#V$OLdcYFRO<&?wJzUd*?Ub46$*n{yYD+Y7|K<)8NmbV5FS+5*z-`ZjU zPp=xGqWBRW77?vIJ8!P%an%BjA1#mX%QMyuO|amXpZdgE>@IVmtO>23V^3dC=a=dm zdHX(~R&=%Djx4dH2!5V7gKFYpyk8{INw?9aF5KV0s2wz&{<}>Z)Bm4M8zBqh|K(aRKPzjE7CbzFekXm?^S zSLhsej8z{s+ka11mmKgGyhDYwf`$ateC|&rIEcgzwm4{a>oXhwUX^_&(TT|9Jg~W_ zvu!BmT#o_^!v|>!JfLe)Ef#w}_&TS%KhAIgjrpom7%igj#gKLQ*QJ4*fi|SmH6N zh(u~z{m_LE3Jp)kcc$^+^AwA&io1CK#{o;FCMuJZ%>Mo~k{<-ahky3yIW_UT)AW}F zJ4~hR_!t;j+7D3fC|QO%_-QgOG+lmL{Ey`H4r?#RQ1rcCAQu_NaWPdpwvul3AV|6m z+O$->RH$n16a>YgkC&tDn5BlS5Ytp7ca2yLXSR1LLzE=fR1_B3z9r>ZR}W4X_{W>{ z)@)qXuaNUQ;{+1Me->Gj?^|q3eu}=V{M{YeONLDJJ*lW9JE{u`!h3O#*{S*ihNSg6(}Vwmy|)0Xvf28FHz^I9l#*_cZV_oV-6bK-rc(q2l)xa zL;-0~5ZQDH0@Bjm-=;jzbIubdp7*`J^Zwa--S_66nYGreS?jlE_S`cA3#4~@JVvgU zSlXILF#I|vbopzuYDmtsWZ`4&MD_Q(0dux=(h(HVZ^p9H$G+X;tNUypLbgD%DI?1A zhI-nEJpq|2BYHIGkgz~G%xMmRR!xS8C^iOpNkvMM{DaOX2(C;fdNv+O)XTvx`u-5N z{nI&h#so| z&bNzWF$jFTQ%p;Twnm6Me^YBgi%~Tda*zL0 zMgNN%Xf4c;FL^}oI2xPvxPs01Nn8;jUE!_CpR9qm7Oi#7Hy?8_n{8rk+at7iQhr0F zd(ZimX|?@(^g{#FC4u|jJ>|2S$#~@tmU4&jPK1wP#g4vuv8U~Jh>MOvQ2XP9E7P=# z!x!6|Q9C+uuz@4C+|FclMuFOJq4=WU*_1X<&%MBdAyRND7gt#N1ioGS;Y2W}Ifb<5z=3B8clk!cl`!-3Ba+ED~QtZ605-ygN< zA62MSWKmkR47?|9(e~Acc-5wyXZ#(i1@_^`wG|9vpJSGt?zACGGc>-2V49SWcYBe+ zvfV^xK1^-!ianGb&uHCBafHE*-SZXc93^r8h>*VoNHIHGXH#2eCvtA6`>K$$%A1;i z4Mpu9k>7{@%|_14&q2<^&Oxq6&Z=YxrQ}S`!F7>Q#nj2p#nIT*iTutTa#o2)&Qhw* zP?tXcONx!0Wu(^dZIXf>mIjgFRk@Gn;p4aC} zn5!zVYJyFiEud$=vHk7nH%?wQo?jy3>Covn0G5m-ND=@80|3B4{{c=%0b&3|cmzZQ zctk`5#2Ysdkx;NuP>_*Pa4|5^un2H(-Xy@q$0s7CAtNHDBEiQeXC|kjrDI@ZAS7d9 zW1(lGp=Y2!BLZ{d#tjrC6dV*39C{*rBKm*%bNT@QL;{o|-h+do1i%7e;D9iv%>YuU zkg#wt&=2711BU<$4;2&nELjr^0Dy-@M7#lqhz5s<0EYmr7Azb*0xq6fOl%J#5JyEl z4jW%tRRhv{lY;#Qr-PJ>!+_8xp+jyjLLbPT{0S|eb#8WvnraP zgm0d)`~_1e^E1NG6kZ_o8!Qq63=-U#WM@*rzyg)wuyItPV={VhDO3$7A@G#c>>@^) zYgA7g9A0sVu5+G_0MMYBVS#W!fH2^|!IGLnR>(a@(mdboD`~nMom~0NWL0LF37Le^ zxtp@~L6wUOJfPjjcj2@8SiK3YT=X}GpJ%^_&kx%M9-ab{*4H3!edfw~>|=~#5G8H*=5%4-;B*1tY5Yln}jgke*UGsdy}}&>Oc^_ z5E3kETGXbZ%jc@gqudkdAj_TDA_S`_Yp{pOdxJGH>Q&ad0^6roSW&BNKR7b6{DBNQ z2O#Bi@oh^MuTwy_ahAP<6S7GzORjWGaCy&dFMcu!M9baiQ$WkA@C&Qi`f}7yyRViE z=OFXR#<57QX%7j@xk_Jr>;iM_MQ3Ts8uaID$a96iF-4c?NFQ*n8nRF5$pH-%OG?HA z32&7^h#Vlvjj94_$SgN-hysII?#dSr1XIgU7oOk<87TD_OXZrfB&Cp#i$zFrV)wGM zn=FOMa9dFsNFSd9DjMqVde*o54pa&y)^h3ACh9rTpl~t0XbSMluk$sK%9Pr+!Z&Vz z1H&m9L$QZMAB3by%H6V+8C9iE#TrZ=*2Orum5{Mm#c~Q53@U0?j5>%x(>_Nw$8{-U6{W*S3nA7^I$1fe;MF$3ak|^TN~$9;>yFTBm>9 zl@$08{dt#8U#)wMK&2I{8(FiTo^iYe7m?=7frpR>_m+T1t&rZW#QsvPmrtW4%5zXv z{X(AQ5fEU$6gRKZw&Z{c=Fxp5>Gw|Zc|e%j9qT$q##=FYUk+#)X@kP`C^=0A^f&G{ z2c=8LdzBp@e|JxQya8*7|NRbeM0JG~l}1CO^|nW(N|zAMDF6=NxTE6%En9CBk0B+w zsSHhO(L3x!tQ^9_@Yht_bQQ0243~-%%t(I$ZxORhUbolF0F>)$Jp|oXz#z17N&wKU(=28i<(s~4)CJ51z z#WUM4L}rE6r7$n)ZOAFWD9L-*dsKQ_n4V*&kmZ)k?N*-Zw}s3?uR~p4>!33ZJOe4? zmpVK~Qk%_>#StKJwxj|fNBTYqqE4T}`GLJn)?Z}J%NJyWEM`;YM_q}n5-u*WjsNP~ zR`_k`rehBIMyB@WB%`#W^QwhZLS{0u0mUY!+e>)v64{*XHdGHW^p8;OI~;O@o&|E} zhfC{(ZEuA-*N*s5qGt3JR@b%KJ~z~B+2M5FBuOpaOzO|Up7)fsMaYk*VYBkKtXw+M zGcoTuX?(Co2Cr*TA#Q8QdI^(CI6d@sAR_B%6Lb4|(AAz_W1zbnB|S8u2K6Mt5k zO|77yffW1H`TTDE?C14QkBx@OxV)PUc5iN~*qs8L0*~`fB!w97TATuc>Q~qhQT7MC zADseTi3J>0ALTDd`bsr3%JjXpw|?KmC~i%8U=R~>_#HO(NW3#27m5+GH|4_luo=_6X zs~B$~pLw=@11FQr6EjGRT7<=tI%fb~YK_v~r)2{usQHu5Ptq84;&%_ouzZ3%x9(CP z#AZ`I>;^CMzLMrQ_q@0Byw?NQH^H@!MBySC>u~Zd^e zP*;SIkt$9x-xwRbxb(!qVnl~Sow>F))o+@ zv;Nr{m{+2TG|!*gLHoA^5Djq=km;L;=&pY#v^J$zS^m{Fe0JW%WPJ?`=awURuAn6C zyP|Yfzt3M8vo5fi{I#>6!thUPxOHV9zQH}`$(IUT_-zH^(bJ@;WxDuMA1PYsPljI@ z8bSMS8`_1CC>Iu{@P`)0!ABEK-8Fy|ze+Vi@SYi}?8@J_w7)4ELL|6%4=gt-b*=cql)Coaa2xJx$DOgTln~`BU0U}aGLYX z_X8fmnerI{VEa~yc2=GkE$(b?g89pph;Gdp&sk5dlZMjlQvjFeH-)9nXz0 zRrG?CvsD%z6SH+S<>GcpZi&0l%p^zSmn3ILX07bqD)YpN)0DJ8(2`dUJf8jtW((sa9v=U!GC~hEi%YvG8|AOIPCnJ<-Gn2EQiWRn}(5Bi&FE$ zj{hp^8Mf$OVbm{z*uQ`+e-gbyd{l73szumA+l0jaE9}1+LEPsj5{oJI1NiU6y=8W= z|6J89=AhDF5nn8^1{U8>sq_?RuWg#uIY&C7+&D09fkT!(M>PY7>5{Ju2vx2-cS81r zJJl0*Am?H7p`Q+2Qw+SmIjCo~k!%LmgD)VV;hx1oCK)F+c9MPQn4~w3YngxAo0-Cy zyGC!_#6CGf50R8?kxWt4`a8DuzJ*QLWgP4P(vwhB@}vB1bcO7>v;px|r)sr;Zy zD^5xl^X)|n(0Nb|zz#kIs4*6JV*GxHp@>KJ#MbDK2!3AdA-WfO7OM+?_Be#DK;p7< z^e3_UpXwE)y!8r2u~cCNN?jkg^n#lDbze zqfX(oLW4=|m7V-f!26S6^0zL;Z$*X{b@+;8=VuQp6Y4$u*Dh`5Kf<;%o5_^Xd(h`K zMfuxSgZ@@=k~J5|$!A9SZ3fw}B}UDDP4@otR;iX||Z0aO@Xw=Id z6)gObE$LZw(K}?FNX-rSOlL1~_3xg98^IehxhZt)euh=1sB*H@>zo9zRp};?^ExUU zL6yfuVXB{mkyzsx!b$`Lh~T8clejBJ1FZSbK_O#|Fla@^x8T2w}&y%K%l7d>_Mb5S#9Hi)W+pV3))Nnw?|q9 zOvB1%W}Y9E#`=ha2)eb^mjmb^Uc~4>uT6jid^ruFKtqh@EOexW za5irI4@X*m*0~a45vWlp)A^)rWnTw(Ii`lf)W1Kb4)#&$1IZQVMebq4Yfoi zizTO!xWSt;6?t!DA>Jp+EseO$Pa6&UxqCBf^jIWKOEm_^_#=G5x}#!QsSovV5c7?L zz`K)Uv~dbsnA!v&5T_zU=hL`O3)ca~Lgy@xG1d=8yq1sFR`9YzlfCoBs zjy~`PX^PofWHX^NDBjsbOejb2elO-&ox?`KU_DZdEfQrbr?JewT5;1Yf@aU#cggoD zAv-e%QW;p7pNN8kjd-3kt5AjoqrYD#s?5qXF_WzH*gg_YI(%#vUul5kiF3>QJDgh{ zCtj5u=gnb4V6o&g^DQe;sr0ZO9%FU1{7SaPjAwMi+|;K4plMOC#P(sQyQDY&DPYPw zgQ^>B7W+IRX3P;j-LOi2rf4eFVw<2jCjM6Ct)Pd~^T*epEd*$hu6 zLK~QJr!|11q@twSJ@TTWv0#RMMu#8d!Y3KKKp$sbObw~*bm!FFgWCK!YzySW}Rz7j8ZFR59C>H9aZ}-FtwlqM+!($7GeM1Pu9f3RxF1|J0 z=bct;VxIpF!4K$dUM3zUxHner@%=z+Lz||e0f!}4dYmxG%K_w_D$FwOqgT&CK7pJ@IM+uNuK0V_#rw{?hXj0W_um7}nFsP(Yj zD&7{BYg-WmUSfz#gox)qjk`_6@+SN{eGGJ@C+uCVO*I?27kV;{9Tj}}%b!1+=I8Rm zw&M^oloMPA6|ehwvnD1o;_T7Mk7dG!z1NIaavm=UzxN)>SZAFyKLv!b3-9xJFJnXU z7&cA;-c6V;>X!1}Evn%FK<wMnR>~6954EA6AVI6yQ)RRV)LF zKIw7`dV-*?4t-1xYyOoEIg^rOo;=3=6ab#3fKgV*hE8@rLB6TYb7;je08*yk_LQW8 zQGW0WQGqFYD$W3olRXF!+6m1h)9V-T92C8zBOSUAoe^H61mJ0~L8s~ga!c7&gw{dZ zS^DM2xo0FPW6vJTqD^vR^#(|q_{W5WF0x~xgd&7MMT1g8PH~QEYUdELqU=ApQ6vgr zEGUA``D4K_m0%B>!b=q+a|q8uYu+A&AfXln6&sF6x~mTeOx_9|6N9;fdFU*>j&t&$ z5W~c=0Z)GKEmx1S9igmoB~hR0DWJFX%?^^Kb}3rK2Yt~`(sWK5bDPwZ<|J{nG!W`I z(e|Jk^Oe1jg9E!3<9+|xJM9PV;-#O`gqQNf{T1Ha{6y5+vsOR9Lxg8@rqTv(D!h zflB2GQkF77Cx&jAGk+%xQr(x(TRM@!hc3dUAn4W=RtzQ%*m35YDD8%SP}3r}e@U2B zj^s?Nb&}VD>peZJ?MxMs<%>YIizWzAzpNqCLOovOEJ0F1-Iw=o|0U{IdX)Q~Ymxsj zmBHj;1yNY{gVH?BKB~WOScx6K5XZSw0M(S&SGh|Y68XJ~dJEe~H6PdfnYA?SAD+wm z`L-&TJ53u7a|EUGQlB*w1>R)?n_ik#V_oXH7PJvU3YRgoinz&eb{35;(yspIG41$Udr{L--%6-vV!{N2Ffzkj{`sT-?1;o(hBCg=S|A~((FBlAdDNr z?+6lnbX@sMDR2ZCQdk0%RN9v<21_?k4itBgmM)v+(%jjV$G=1?=$-<;|I&gPFPu?~ z@$alwac(&u>PD(Wm$m!7HosJKa`Q*ph~}C}S*oCfa%EuI+3%DOLVnLj)pz{=MJ1-d zDstMgpz79eGq9MMS+jm!Ird3&564ZDn@Dfu*=pr(?X#qzvCD5i1>m006uCLn2uKwa z6Zh~t%}ksE{4+c$Ydf<8sU>E{1pxq3MQQ9*Kl_(f%1bmUl_wBpzk7^~FQ8BcMZXjV zh}*P|bbDA3bn-?Sh;$FZu`q!V06;>{(4#e=$q#D}`t)@>I?4~ARRqnC1c*zc47jfo zsa+Ibx&xf0gaYi{dlxWo5eWMFJdJKeia$L}6&!KFZk zy0k{b4&r7YAv1AG06r7a8GbX{Fwt^1qB+pTnnWYUJWTLm6%K+pSo~w&4H^J@-zHE zQEFdId0p5xm;1uUe^-UV=~Y?(1e6(GIywl*kisVql%)!N;R9MS)XUqx3Z(zwyXpoO z>LE@4k`Ng)IFb`K=FAZsGQmC(4E{BX0!!Gukow7lA&8QkBbj zw4XWRb_gD!Yuzj&8P{9c4=8==7X z_mG~OA%!9^%CUXfs=pA5^q9Ya^qv{~+5b|Iy0Y=#>-dm$kDl36Ue3ONZ-{U2GAezw z`OtzQMWxMsuBD?w19TH%(YCqLjxlFl+jI-R~|?8rnt<=W10U6rJ4zWy%VonoswC$DoQh`mds>DrY$~ zvV`3ph89z$e`u#!ZEkHA%W3AyBQ~_7LG{Sg*`|)1@-DON+$w;*pH7Xv$sD^!3Twhsq zImo%J$+{v&)+ReDW^j#?MVxw`=@ig(^U0G>nWn44n^^xa0D?of8sE51@^#*6n(?ua z2~uSWG$PHx@6IXR0O{8Ud!kb8gw(mDj|=}$+Vfp2Hms`CHbWJ$RQ>X0$)JA9gF|tj zIsiYjKqJyZ@lfJGd`2#)pf3zxcq(lwKj6(R%yE=VqOnK9k5mC)HM$%WLCjx0orm5Q zP!zZM?)qHh!2N)CpSwkfvTJZqJ~ZVbJ7bWQ!?)u}IT-bFT~)|+p=(8eFqs3uJ7c-Y zTWT`>ulE*($8p7Y>RiYwe6lp-G;z25Gaa*;N>cGv6G%f++r)W%<^>Kz6)Fin^qG%v z&9f8xKQ6y1c?zf!K9cZG@^dbf>~;aVxvtrS7xY zi|)i@ab67NJgv11-r{@p9!b}4WWB*@c3zV0MYAp5MyC}(GD0@;Y3K08pY-Qch&}+b zVy3@c6aC-P>8Dx}n|6jiX0i686twL{Wx~VuPj8lP_G1l9Hyk#R;(!yk0??}yc8XFW zvm>JOa`tlFD-++_Xksg(z4|OPdrL>^71;{+$FeyDyH9C%y@#iAoMPfFsp20*`)d~o zZy4-zFP?+cw+k=}XmOxk`$RH5{-A{VIiYcyM<=Jn8?sQe5mi0!$+x9yV)r9&tRg6* z+uk92&%+c<7oatFYZKwBOvU}k79U8;(kTGF%l%gn_(~Uahog;eH|b}Y%rP%!{J24b zJzJ}b$~0UMM-Q0-O(yWSy>GZG!h%1&{-7ea1{wyO^nV4%)>qX;xhiueEJ6c-oB{%7YcJ}KcR_sUN=rY>^wf74zAAci9W zF>(|`k0uFtpIk}?onBr{y2e1%CnZkY((e?6nfEEdd&A|2LHI>3e@pdEUXzM5Lh4 zZfM@v!u!RMU)J~cU%awc*744ugJTxQlypOf%)fslU=VNhs9Wh6j#Pi~ix#7!f-L6R zR?l1Ap#rhjO-JhTa>+-~pSOcg{32X7qHm{nX3?^(Vejhuxa-((d}p%%s2WLFs6(nc zzLljZrTijt%F|DPNjU8kur3UVW|cm~ISMjWq3tp3obC-LPV0|;=_UH(>)tY{5zK2k zok5HP?2;4>D`iV6Zs1mI>8AICZfP!CD^0l(ez;go<7{gY&K`%%ApyT+29$;TN?>yI zl0tpp4^oe8&)^er3NMx*7p|nhB(OrM*)U&r_CRsp(q4L>n9M8a;=gC@<4S?Ot!-f} zw>+2Wd!(!LajTo*U$yG$bkWN5fkl9pgR8<0ho1~SnC5jPk;wUDzr}s*p%k4T?4b0~ zltENFtkO#`SO~ht@kQX91u`S=Xc(f%Z!y9rUmOSg%t?*zMlEBmg;x@d%7mkcglMqUZ^FY2S7Ue4(KZ9r=E*NY{aXV!j2T7s6#YSQs zbW9abSxH`^CHA_=zIpRdHacifYKg`mvwdn?x3LM&r^u%;Nz15AJ*qZ?cM610d=jLT zx=tO6ehLsb`^hZ+NAV`N=dZkx!xjd`0hxs=IypHSh+_v` zlzW0D^N`-rUO#k+7kHOYQ|7(pio|r|6d+~qQ!w7!?$6x2dw7+2i9;Hg zClQkf4^9E|D_CcQuyRtMP-}FVdd0Qj6wot9UPN|G+K5udrz0H}8#NDwl?@IfiJ%27z1ZS2|w;V?O9k+7V+K`Uimo6{rn&AJC4x3CPcW$PSL~gQO zG#K(ouyUg~(~Iuv-ctaJKwWyibC#sk+?GM!gPcddZt6h3>lQpS`Tt_0b@z_N+}#!P z-fp)$ERO7<*q_|6oO}lzgPFwnGI1pcrGkQ8#|EK zyY*>K;X~ucnPN$vCi@>nX-?V2CgnP~o;Z}0eUef`CU>e*w{m;=-#c59t9{3PeTyc( znJ@b-iVWyEgd`|(y~{n+;N91)W4Lm4jym7q7oyI(7D?L5Jul@G8*Yrm9H4%q43FwC z9`|z>P=~?Zx>dk2?q_3ZJh>lWx>paoXSsL7ZX!Q&*kQeEXGBmPnU<04>f@9lAypvA}aTC2+}p#|8*tMJv{~31fEcOcV0FGHoKJA@=Hu1 z2n{gtd+2oOuAdIKS|GDh#B7M)2pJzLsMR$0w+Sf+3Fzcb z_1KoA#@}NBt1RJYl5C5{-+smI(5*(kV3`^!QS%$6lzejvY+3LZ7v8GD4qWDDxGap3 z@Upt%eqrv65~|Jx52Z_%NY|{c)c$`%f#?asLq^_~!kw)%jCw|v)6eshtCMg79`zy) z-U}C^oPWE$2wh^!D;W{_X}(@9@#x%`RIW|%+OfLT{>S0Mb;I!FWZv%NE%bM7msbbW z!a`n(dWPL`=%{=Tl^`;%=%tx5EasJVl`>=*VrtPLlG4sbEmUx{SL>PBeeZ4yA1Q8S z%YM-`42x@a>!L~HXHApNc&I#Y#zCLL7l0hkW1bKFMc*XHxjnLyK{&A4UEk*&np-hL zPe;b zpaoS2Cea?CS7mVw?tP--WRWxzm-I|&6_Daj6_Mw1Tkt4DiEXP3&=V^vRwM(_nq=q4 ziKnkq5p$vg)jT#`)5DYnIi85)Ji~Xv7J%+%O%iI*TRM3PsE+W=EJqGidH8L%OO%&I zg+$~ws-=|QBILFqH|-N-}SyEI44(CMB4x>Eoh=5f~Y&!tGN zlY$t1&{y@$3#d%;A5x4c6U%DWo5UYUzrz5f&2p1W!&d8yf?KV7Q`0#5^@T4w|C5_jN{`*y@2(uCq z*gsBp)DnKt=+uGnJbhvcB!+}E;>GuG-1R;7;U~#|sqR?PswdMgA$=S5)mvc*cvE#a z)<$7A$Od?uhC%MWpSXBdpSVtIpiV_#M3-9|=S_tqcUp&AhjK-uF1z@#{aZv~?O-J% zkN5~@ug0KeiL5zfl6=pEr}QHs&0#q6{yz(1RDv-{Q#K>anC97qJ~sN|j1}4W*eQzT zq5jy#foB%z&%X1}>N9_mOQH0mAA@O5>;@viD;l^rpU)UJ@aDak^n5<`;18+s(Qa*# zu$GU>VU;auM6C~(^356!6jMx>>pOI|cp}oh)jAV15Ptl*um6rmun#_|CFGVM_Z&&* zPu`_3ns=E{&=eiZzNPklv9IfPU=wXB))8wndq180vnF_3XF{DxM}p(-jzKWILW`tz zB{tg?Z=<@iD$+yi+61kpNaLYUa|7y6CH&;A`2{MJ|Cx05@(c5P2IkdC6hFoO$vfoW zOsF&IFpz77Zd|ME|4o&->k&Zs$K($1l7c%s1~e>bC>&%})bwzmM~H4vZc2_iVz{CL zu^*moXB#L#zKGPBjK@PNv9rE9+j(z3^Km1tBU<)>4xy#D(bfKhf9 z9&UwR$A|0C3hhn&Q=J8e*KLPripx^_NfR$etMXtv{klG;%5k>m(yfOJZ|ELyAB#D= zM0>9r#2n)rtjV647X9CKa?sBEEBCLh?%L}9S*!c~zVP(-rsLx;6UUCkCrid#(q0}% z>5aJrGjO7i#Nb~3G4{i#?8T!(&upH;)Wy^mEYr{}QVq&%G12q77=~vf>M?1ryF|O1BkRK22(^MPw<$7T9{X5W@5DBE7|BPXyD`dHYgHuQ)zBxax;f2 zW76di@%$KZ%6_#i^ZfqC!xX>!;fb;ql3CEDOAQi50j<%391*s#5(JDXB)K7wX``Fw z7IuZoRwk9VUhgJO6P`5UlaaqX444xUjj5oq;80Q1Y5%l95!K)W;uxkt^uv}8rqv0j zDg4F>lzvi2`SXP=m8)^h-$pWIM@(8`!p!9az|!m^F2T?NZx9dY6hIwy_|!n4dzEa5 z{;}$wM$`MoDEl?Jzg%~Om7Dp;*)$mv+UZT~vG&U1Qwq>M`kU)#lJa-jW@VO>N$d`d zp$|0ogCw_1aFtu?OwsnEiw!oqE5y#5&sZ(e#&j0AoLmBDD9dS_5XS0$9<#sB zajlR4Li_O19ApUXSqOc-Z(L@_Q5BkkWoei2jzK{tYnO>*&I=oCs*%D?#pASkwUiIpzlxK_y?W(BmDiE-zpq;$ zB-Igkc$iUt@SXQ~plv?tXl6J(h9k7?YF%pD`+i$TBlq zsU!Wf_g?yfbYjjaK*l>N`25d#=}r?u=lTW3jhlRjHYvz0JigjnDl$TPR6lO_lJ(4R zwe009ZO6o#8ZcCsgNrvUN+kDQ~xJCK(@Ht^kq zZDF|+C`@Aprm;qnXi`JDnY0Phd-6jkSBdKB*V+iO)W0*8mG!VR@oaX#?2iqj8!NVn zzE$i^=8*XQZZwuw)9%9CUU!47y!{*J#3_malY(#m)yr0A{0$f4+3Xt`cn#Db8zZh(cIo zC%bL*=N|r3293~d3vL?KZ`hv#MmQ~s)Wi=qAi|TM6KDGj3ZT7F$C@eD$E!?iC6v3L zH_Guo2xzDbzm^DhAgFVVPtpW>%(-cYd_(!jJWSbI9XcWSv6CsNcJqBvi#>uVzIC** zuEgrI88f_Q{rzzbqiWdKp*+&BE=ZxA(`DC%(UwT1)*3vgB?Y3#x%(X2fWGJJ$^E66 zp<35&k++mAe+${y?A`ZfTlbZ$mZF<4yNg-twoFVs*3W4RDS%vIk%xq@((%~uPyzZZ)K zd{!LgEE{4OLSZhsHRPNn?pZ$c2dJ8I_kP6&za;5t`8k6N(YT+m=&{3@opJbZE{+vI zr^e|22|@JcH!<79B&(an;Zbzvof9?#Ad1@0Gj|_*!F7cu+Z~n*9PfE=D$U3%N)H?s zQt!(@u-{3_A$zx}F5aSyS^%#ZME!W`HOl4v7TXQRioq?hL{yOXy4dg+%J(8vb9hlo zm-qE2c;M1`U;pg-y^J68Kz;J)&5(Bb%lo4bDA1xJjSzz)r5$IaQY`8H78Bgd`<({} zI#vhdlVH{pdJlnr;0jyJeT-#akqF(rOz0UbQ#1Bm2W^DQJLXLojg*rhJ{?(Tc)9iY zDc%$^8DEjlGrzqc$#cqZ1$s}27Q#2<}_oH>F4{SuGI=17>*0Y-o8)QOqt#J=}# zSMAy|lHd=vrLpC$#LiW58>A7e-bcWNJwltYpn(-68g_`1RdX(>0NuXsbPRty;V&NpYSd-2(Kv zRO%e2`_yLQ>0PXA2k@8+Ca+>6cHd7u_||i)G6UWuH@;L;YGPH`wP}ngH^l%CH5=Q4 zo}c8gF0%)thvj&Cq^5XSjS!veWa&gvy;+&QjAEYM$s?g)8ftNI_n^9PvBQ^wZASsf zLSQNmuH=MTsdb8DfGPC!$-xs?_^Y*{zwuKf;}Oj?1;Iv#fF-wIu^cbj2&PWxy1XCL zJja2W@v{2Ws?hF9;cOhR=Eu(a*z>!S!+aX}79xu*o|us*&HO3?SiZ_1%hjb_X99QJ zj&m!B!ljGMUTzr_P^Nj?F}$YTeEwkcqjm86xg^CwA z$j{wrcoR2_f&X(?Emb~ zOi_NE1!D{dP>d+0BQ#dOsDcLF{VL5f%_?*FQf+&I$f zJeoX8YULr{I%^=J{5qhb5OoTElckJ_!#!hN@XPr-$DLPn#V1$_7 zN~Wx?$L?1H1cYK<`M1x?ikEqblRXh6FZl8(4a6IIW={MHUr=>yQ=J42?~mB>avY$9 zU$C{#C}wOtl&JsJ_yE7+E6?o1#y1sXwx(Nn7i_;=R4nw@jm-kCvFTj)w*yy?eVS*y zV4HDKFZ^GRBy`T}jxyfs@HX*_+67y&i+ZvA8nP;L7v6AI;nn6&JF&jV{{wwCSjqR= znp-nntMgi&f2DP5AEm^C_wRjyfSa^6qjKGQ3s0uE%(CNo}pP?=&(n{lsXiN@gq!jD(b&8ttsE_dvT3wB5Os<{EY+N7E= z$l}wB;(l+6>552kTIEfx`L}ZY)$nqLs>nA3A9PJ~Wp}Hja_G1-_T}K0(-1U`2|Yr} z58VVloiukxAUZH2is`!l)QDCm*sA%6ur5=xceLFh(SaiTV%VC9|Dkxrx z9g~n*q!JSBr`lEH3!*UyiGR_4JU+eP@ea*h2~UG+0drzpgx)DrkCIcP)GbCXRO>>l zAOOdFejNkHDFEg-=ER=-JOc-Kf;(GXp8$%{GVSk~oE*`P;ZBq_i9f9Ll%d#}x?26M zx|S|vz;YmVSO#4kshy$KTEn<{w%(g#HnV`aia#EM_VacV3W@x)r2vWn&s?JJiq_1I z+jmhrQvz|!-6#Fe)3KKB_M)4Q7~+E**7#$UrmR2m5K$w0)SB7Yi=0wQoG9803-;4k%w{3#Eqc&D)Xhz~fqS^PD0( z2J|UZ1fWSG8C){=KMK~!e6}4g?Kxw^bBfIZ-4#vRJ4q5Tx{onT`py~CpHmEkKDD~r zi}d0g-zqA&JOQ?5yafn!Z4i$u-)@Lsgo{}C zYg3)Oj+NJ8|L?n2f6{|7f3?qL(^>(22ds|)(W%|IFB7^%nfuiNxv-#@obbd~=xXjk ziu#obVR4@X;0*L6%FK+@VN+WZCuc`fLmL$6H#;Ls6i#wB^0WJ$JLIgQU}q;KQ%5m7 z8+$ujQ(I?pK5|wuJ8L^fReM8YQ*u^u(}!SVQxz%E%g1VthPF;-c8)es8my9FYiCnO zXeKc=aZ_VE6KH;EQ?R*(GdVjiJ2|VWi;=UNJ@g^S#?TykQ#=1dOVP;E)YzGvRTFID zY(dV+$4kyCXKHH>{m#zC%gaN4_b$q%yr)B_-vC%Lk|0R{49wXnThPntC_oH=2# z0FQ`>fOz8uA`%J~3JNkZ3N8jF8WsWW&6@W;@%qn~|ul^kMM zht%uE<>GUl;g|gT{V2jGl)*X)&pvuetD0Lnr9GHSPbmf;OPLEe@Yu-Savw4>7~uHM z@vKFwLB^+6aD#ug&SQa;_Km@GO-^&+c0B@Wl|p@DxCS#XsI3&TPNfIo<)NC;jUhDE za2$#n>2oEWLmqu1a;vrtZ)n`-ZNbqyP%I24FP8lMLI;rw-Ztvx&yt=6>H>=ht#dEs zcc`=PuQGu7f>iXZ@^nsk;=GEvDM{-F-gVk$aWaoKJ0TA@9VcK#n_Pz=% zp-Ff<-8u1KWcUDV``NCLbbe(8-0T#OfW>!{`|$0&M;P^J zTyvpk1Lp*LvKntlgFVTE7{IY*OYXKn_PBE_eh|jTcv!0F=cX+gWh)||TX2?FBQ0b5 z9jE7)^y4j=%~PVWtztU)St)G&aP~s@2)oG+H3e!6Wb%TgkelDW5gu9Dc&(>tMn)D^ zsAfgzD(ojRUg3)`1j9Xidvs>3$6kzPs3cK9%#Nk-sqgb?JmN=d8%x%K4BIkqK#o*~ z!@#UMwI%60D=N|?nzlLp_=N86Hgz9cIxrQU(+O^i5G!T!%g87=?1z*;3ihhhT6O)- z);Y)O^evO}<41QS8)*gUBkfkfg8};Ktr}9Urj%4y-LjI0nkIR{tvS64B?c*LUC}&t z=tIvNjq=CPn_eW6M)`~wx9LRJvP6|~d`9{_K8&Xxm{r1g^YuH@_D>T1Gi3v~rIRn| zZg?j~sxkGt_gVOuqOi+NTaGCR*V7-|um)b}68(!$=d zB~N*yG39Gv7Xcbg3p>;dD+WF^J477l?v;J$w5?xjDpw7LBQ8?Nb>;8H$2{yC(!nFZY5;Nm;e-%G}} zgj%s}J{qaleM??V>MS5`c~FhP1_F3ha=Js%w6@>}P2SlhI!QF3B^uS}E}*}!DnZ2X z2veIaOeB-i*(y{vzS9>JtD9ih;fCr=p5Cnd;WPB@l##-bL^Unbg@vj^CIV_9fk$bp z{qQ!TK{E^t{B5&L>6noacS*#0?JmoBoeBDN8WIIoAY?1ub@>L~bknEe*CcuUj9+Qm z!vjquBlcB`$v5AE$Q_h^EX<8V9POrbaodb!jFJ{TuyHdvtEoux=7e7rvo_0a7qofQ zQv{gvd!!=(@ikPxh*^p{N=gsL3fP<& zJ{F8@onCvjuHk?`hcotY{=EVnw!gaj(c|cjr|b@~6ztTD0`aeI2Xufm%lKL?rDTWu zo%(uMAjB#-eJ29yadA0!^2uyr@DAQAzMHA7x*zD^lA9tWkNza)31@nZB=TIndt$Hc zTU13$)Fc0wD*9xSAN$-?20wPsMOZByQP@^Ywg?2F=ltM+g;s2zNjykfL=qsV*&7CKYUS8Wb7BeLUfMXAZg>x))#F zkK=FC26O6n_!W=6j@sP>Z0}fT81u-yXpftokICDY)#Ho+5Oyz3jJ&NZPGO0dxpjx& znP(yu#Y$EZe7t!S8ynxU-kW0y#G_P3I!YZJQWWfgA&^R+Lp&W_+B6;CszI%)z~D>T z`_bkSALK^H?j=H=#6*9%gY6V^mr-4wMS}n1);_m{u7rw9r@ouH9aZwEoW+3v+>*H` zWSTkvuR6S#E@HTiad)@${&sGI>Cy);`Ie=3(uDWH_Z~2ZEzfmDcnp5^$k-y%wD zst*B%G=?uFeGaDu?_1aL$~r_K=UN9u#r>Schn5~?;~VX&wOWVV&P_?K^Bsa zh~M|#V|w%mv|73-H?_cGN<4N;;arhU-BK9u+ra)H?L@;5_&$Ae)mOR1%HnV} zrU&7+Ts7a2m|YbKn1$RJWiIx>C^I+2uugx1`Y6qEox0{7>pHc-Rz;qR$vbKZa+Y{{ z|M$gN55Ciwhtojc=nGe!JwPdIofJUqrZzL(}YX3xH=+NO1Xuz>ho$sNC!_U@QD zNQ$?YTUBQdYwI2rd`^5%jJI)TZBU)%I|E_s_b8FMiIh&I6n%3Y%V~l8p{;u6i~Gjv z8Awdkqo&OHIr1&u`PpQt6gewY#th%q(;Pip9_ikEt>7g{ zoy1oNX|Jnp+P{RB+03p*iB9%IW`gmi6S|trpY%dpzk{yLN9i zd)$M5m7m(9WwV7ASPA(Vk2rLR*i|t39fO{J-Z!iGGQ#$sfM)igKxJ57OvK6FP>)Bc zy{q8BuK6=2`djxU$P6nU8%WfvH_!xzHQkD5l?wHbjeZ-`57;7;t1(k5qQ3i1nZHwC zqj%Z=)Ryz{^fkI1Qz<=#+IRnU6ffR8`Y3=s<7eKUa<9JqT-BR5uSqta}e#xo@3$`Na`80{))lT=RckOzs{3{JUx zT2rlyVjKG+I`VF+NWVt9<*lIpH$&PYvM}b5u;9yC!?*LVgE(zl`9&-?-w*D*+?y{n zrt}W=ZZ=vLc8zDFq8W>D7G_R(R>s!%@G3`dt2A5{j3R5OUklDCh{ zzQLW#Y+Ea9+%q~w{bvLv1yoGol~P*NB+V%P$1C#OA1J=$okgrszu!M))tHu^ukF5? zU}7#6&P=J`FcG@0(de&s?I`9@+vC%0Z{l*;!UpK)5NuS55#E;kghLQ+1~)h}qk>{& z)}n~iS&mKs2*Bz#wlYh;N#k5b~gIM0Vnd&C~(WbO5R&`$bsnTMnU?_crhhU%6i?y?76LwBL?bm)< zXFT4T)Iys~`)p=cUPYqKTm4B}XVt9yRo*0+Bt_cE5A#wj+WIvl-VM0wl1(hdD1I4^ zbqy$YyUm!O>L0pSP^89$CG3S`$(YZ{sK~d(bk6q&-$Wk5C zuX(Ws1@+o__PFoF45D19T4`NbOC_5^lUs4sc5idY`OPXcOYyfiI<{p=ikR9+6&+oq zv#D1mEiDEPNbjpb5UG}UE*=y_50vefl1WG__`!{@=UbwQ0)=1d<&GUoX;EM>Sht~f z6?3gk%q5suHe9mZG|GJzjC;e-jChu#?<`h92tNQ4kADEuOWWpQ_Ri_?&gc zG{COo_1MA+oC(+SY4q;!bM-Pcylz4%hE?V0Po4|SiX}MDM9y_Mc1d2h_2-^V9Fywu zOT|8_`ydZX32y-}d88c3)3D6C);{EV|7cA)mCsCbXP-(kJJ)*;Ra?nnEO!bhg=^Cz zF=R`jPP&q3sWv5-7(jrKHbDO!)REU9*K#l2TtT-Y%Rzj*s{&#QfAL%q;_r6);WR9X zn6}bWiz-(!`f5-|=Ni2kWzBq9Ghfd-s_+1-FI`ktXB)MbkPf@Jh?^W47O z%rlt35;fAFs@y7P`dEwglwYrCDlHninn0pMfn2}CtDbv8fg?lcv)F`F+PRe3whSNg z6TZ4+KQK{Gbh%olhlR{1o`=H3B+?vj7{GNa$9v7AcH3u1poL?}^eK}C9kE;CHbmbU zzM*(I%bWVNBOVxM6J|NrjNj1x1cZdL^4<>KISC19Fa09AxPM|f3oC$n<%qn3g--BHD)`arhy#g6q6W_n62b#uX%(%pL+14*VG<64$L(%Sc7zZzdo$#NlSeiKi`&Zh1nYTNC9cgp7er!>^);UrK1435eL7wlyy4yJ&~>{ z%_Y6`4#xZIxZ%7&tl)GCT;OGLoM+6Yq{jhGo3vFB5f)Mr;TL@(SyX`Bl-?>di%a17 z7C1{)#xO0k)*)I^JHgMU7aL1zFl34c0>Pb3VCB?FTH8dzaarG9nSUKsmnqO}xQPu- z_aF5=YRIS+YoUkaz(R%*{c|P@GkwYCOv!VKT-}On_w(?~AQuU|&(qnfW+iYn_6bH* zzg)l8Ga)Br%Z4x4p|#%FZbp?s?$j4Mqx@GEHLq<=NWRA`O)?C6eQP-eDclD>A|Qi2 zr)50VH|bokH+H?Gzn1W8zfR@^ZfnMZ`l$}p?jxU_Zgi0sYz=fo)uiR{VPl3DVAc_xhBlq#sfvn; z1_rOHwBcu+z?t{o*I|#ja3czYnD`RK#@^C=igVvLhL`?$>Uh@lG_!ZN>d0rwSU$Y8 z#>2)qTi2x_wn1;pkTGf6(S)ohl}xXId|2;Z5#9h}-_!5mMcWQnGlS!@l;5j|ObNxK zU8?)A@($s5cvQCv9#p+HT{%*VEMZx4)m+6c5=y!{654d&5vU#oY{2}v{S4D%w2b-v zVgk=c{9PX}!O~fJFYQe|#0@jTQ-Q!FE$Nck$J{&DdX)F?Z^4}2dNlOM7P+KH%za6b z)F?B9L@kglJa)wq&X*Vme?8Ja=y)GgN~itf;wPYy5^`!fiL7kzo;p`@GH8|X(Caz*h|+oI#(B8m>FVHqi_~-?jqsXd@H{4 zIMCt5rn+gyb+kaZyhi9lWnhai;zOWOL^^3xZAEPx#R3O-bw3Yp5NqS*2M2R`nRU-_ zI(FCfQI0-r3!*>gQr;`fm{+VB)h!PR0uoCM zq|9;wgWsSCh2ae^%cNDK`U@29ZVxJF$9`Fr(AHO$njn8I6A3u|GG^QM-tI>eI|_C5 z?LA7KPT|IjJWZgHNprH!phXXsgbdEzRyx8RkZO$co7ygU+;Z3?RE98K~Lt|p0 z{vii5oCR5h?$BH^vH60v6BjF|nt79vNkh>t8z7L!go1ij_s(rTgxH4=_t3bQb!XFh zmpKD2JM)57K9bC&3G=)qR^0BdD12^tMPHpkL)V+8bolA#Z2Qre%A!NJ7|mUkhcDhK z+ilE<=2XX`Sn`VceUP)f#oJ{Ss644Wx ztimDL zR+M|Vg&k{Yfp?!fl^Txdy<|L9GX3~8yT@e;p28H^niID<=v$5sI8VA+9~H+vC-RS3 z3rD?ttc_sTaTsY%Gv9BehkrjWZA#y2sjn+tGl9voBo)59m1t&Ymo{NY|Ei&R!T!mI zN-NqVgVv=%%dt`(H6D7TLhyq0drF7QDi_HZySiXbrz0JHO+{CN)S#s$OTW{y;c(heL?6Qu%E zGwImA#w*f|(N;8+Ltvq}@e+}(Si4d&R0`r)7g4oRY02aNX9w#THa>r z+?26l(eujPz1HDn=c$JHFg*mI24{VP2ki!KG7z0{!+QcBzFJJ{$m2w<`G+JN=eIYL zZF3ARSgo=O+VWQ9uXhv`kjI^VqZ}OEc+pY(HuGGHuwnP?9-~H7g!(=;?xH*qNL-GH z>_d4l?3SIha`UCOtjM=+O0)uU@{W^q2%g8Br=7!14fp<}?5;rVNFn>MGV@e*`-mHVEAIpS&|o9R@7le zC$H#HDtQSD#7t9TK83c%NImP+m{`tSdzL*KkBS#4-#(Cv*-$VgC@o86y&$8mFQZdC z<+HyHa9A`vU}{(-@>nFe?9K9Xu^WAxhb#+xC$9I7pBnXO zWS&@7^V(Nly*%dtpX31WmismB^|MT!c2Xz5diYeOF28+Q-7?Fg+i+Af95xKpXcf88 z%c!oUflaqEM@!&A+1bS_Ly4Z`!+V75<&C~|S1;>2*-1*49e80km}hO0$+gHi_R@m= zppSjr0gu&G-XdzGiy05*HZskF)H_EWlbJ59soa6UP=&ge`0v?{UR0B?YJ0D8DuuPI zv@~`hf=Ie=%T`q2w|$qo)|s|IFY6h7N7~1iN-eKmg|!u;5_tGhdvV9&lOWu?|1Dyx z$_W!mmfQ~po&zw!=ahYa5Uhjgb=z^qDq|Jzt?%)q=N3_|gFxX~M|gOSA7+7ezti1# zcfNZb2Z3CiZ;62GlB=GrV3Q@KHX;-}k~Dr$3W1=&xSbqAq~g?Wk{H!$uM!jM)Un3b zYC1hnTxQFik-`p@SQ|T&JxzoLo_2BTeu4qfaxPZZsw`tQ$cwf=zU=65TN6W1s@LF}uUB9Z2zEl0U z>*WgH2*09fw4xSiLXl2b@iQ6k-LuMSyR=nMqXM$j0l#jgy1{5tOg%LHc5XbUM%i-2 zi;tFSgvUC&vEosPmes0RAX?{WmfD=%H)$7L-kNKZdbi> z3y5|BZ0OdF)2DUwb$6Ha463UVdh?G%@si}zX<5pNvaeHJSr2 z={8K+(orvTMYb2cuOh)(SRB2Q!{1sCoiM;Alm`f0wxY_zjppII%d;&aAWcopmSv_p zh)fCiJPDpL_)Lw5h1pD>2idM{%-IKmOi+|^V}l?V5ZLp*P$4}$wG>SC$r5%cb6eXx zS}H`iTW)GKez4~-WWnDI8;qW)6umapmzjp^ehEQ_RaJ(8W`St-$)lqiMzqe7RYM}r z5=Xj1w2RCG?`*~HdfpcSdv-Cox>D~ZVo`S}v(}%r-^ZM!h1uSv#KI)QlpBIVS%4TT z2tdWbc#EBVfvjE1M3dh=0sJ@+j)JEEHY&%h{`*4HDGlvl^nFBi8 z=C*@Dh)yt~C=hT~+aQ~_`;B?6!{xOD{R_QCjbsnq;Jbk!ohL6oV|t(F1@h>6dM#-O zDnIIQ92yJ{h}e>Fb|l8hVRwT>sK`k(hYp@mQk&Svx0rU~nfU3CWA)RE`M7}Qvvsg% zT6g8Sz7Rv#8YQDg&tJp1M9RllA+QR{2W3t1pLAKb7YlD^+kzEVOvf7Hhp$lhCeL}q z*V$8!bVO_RRCdh+#FPjhxI}vA_4TXH^aipjH%SkZL<4NBEg^NaJ(?nqeKTt+Lb)?3 zzr)sI`BED>Qqxx8-QlcqA3`1wIrrDd2x!K-ePO#`yHgPu@>x~C5!qH!2!aKlVaw%Q z?x1F~7^X4{A+RQFBUg=8-6EGnFk<-VP71fOCHwgD^ZPTvqmLeO9{x;g&Hp|bpkN<+ ztOBciI9v9K+SImZ8*}Y+g5~~f3Ofd0i}(*!K%PHAeoR!gX^*KvamRkOUQ{x_$5F)8 z6)7UD8tyd`PlhB~9;AJxcQrl`iJ0kMbRR!jBRGy7{C;EH7js8_!~uu@lUf!r^%)=bBo zZe)LkKUMvj_G?wiCqG2XzKXA3vsQ!I`_@%!evy7Hs->&AxX|QoG*U8p?aCry`=N5#awe`)Z3a>1)V#vPWuN(Epy#L?k_8(vHXsFby z`x&CWuWa_A)&!lWq;pLoQWr>^+lSEER}RrInnoXw*~<6)YQD1^0t0~#N{(y~AL^pC z?~F*PP`&|=SzT7`2hDs7YHYcto_jE{yli*c%1qOzvQcq#axpPRX_Z&|I)wjKDF*~k zjYH`J#-Rm|u9i7Jm4B7T$78B804$Ph$)IGnT}!_Ef+11S1Y$<#Z6IFOn}VsEY_IAk zk~U5?J;-fBTz@%0Pv9&pAeB{AHlU_A&kIyQdbDbd^vKyVvnN?>VYG4d(SY`OYe(#t`$9 z98Jt@-kTwLTAC(#ln-91m_uP^*Y9>EVX2z<`4ie^n}@uLEx2Qljv@sOJ9BY``8~X0 zpKE0~{ekZH2NCG)E<`B}?0gbHE)_2k2j2*Ix!8AJQ||wpda^bzr1@%-$&4%+lo~Fk zw`7L#Fi#(o_<)Dq%ee825Jd$qoZMQL(N!#6Cq0{+M#k+6h?Z;>Agk=Y!gzWC`%8`WQYeRStDWIc?w9w4!m@?wu)kZNsXr z972BV9U2Tht8p08>it}wYFd5D)%o(}SZ9=WbveCHLojV0g@=NEXILKI7?m3srLgluO1FR0OAz;Pr$1wRrARv<}@{5uHQJ zF!ttYFKw#1gO^!Am=Xyc}Gjc>ItC24y61}2lBaD-`e(V60Y$aOb-Fv3* zq21Hxj&=rDkhb{usuD!mRDBjC;VYO(6*&?ovlL^n91Vi09pg%eKGZMpnb512M{nj? zvJ#)inv+gsz__?*bNbS5bT{kW0T*WqG9Nv^D*lF;e?P^5j~*Vk?0K-69(xw`A?}^t zRWl*kJK9kbm#tPcI4wMee)J|JeVlmac;#^Ho<;}P825r*YTajl%E4Or{I->+(OgA5 z6IIzu+cI(uw|`(j+bX33TCGL&g*$%&x~a{*Um_1RdexgpQ}9hN@ce`; z;f{mdJqURQLk_UxVP=y#U0R0Qb~OVl+0ubnD%0?C4%q{Y!EZQC$TmJ>W`X-7&0qRg zy3Dy&%p52RVOx9~&jT@_;uQy-J`0{3Wkp;JgI{lEW|`_0vvj#pwD(^&Wm}6a-j{f1 znknJLi=ZmYmOYXeXD+BXm}(}RSe2%3W-bmVY!ToWir8qbBcYLBDFX9Afe0|ySAc%y z%scxRtZy zEjF`qCOnxB+&Og7)%LyqNH}DOVLcJT2VsE+EuTfCySx~S=jvBX9%&`}1*oB(e46*m%3MbB5N zq-}0DDVgzYGgc0e3`dRj>$rHu3g-|s8>I~4fpREqrL4>kU;57vmxY5MB>EBC9*CRh z2Gf<+&KZX(Tk(}Xd+P(E#~!LQ!`^Wp@;jyc<|_{aZ;+0%r@J?!I*FoPv=CIbO6820 zQJHAol~*uL#fxSt>v)!mZ{pxv(nQaA=vs4$Jrb?!9AX24s%-8o$Nd-)2H0q z>Dxhs1OO@Wtoow4<yPz!K$y5dtU`g9XbSkFeFpf0XLL5kG9gUd{QCw zoaF)StVkWPdpnSBZr?93<;%9vXAB zL}2F%W9;6L5==MB1R;6$>DyWF2sa_3qJ2K(uAgjY|u3ny&OXD(@%gWRG%9ij8-A^zp{qGQji=7qJ#fCcLx0XFBmp;hk?qLEtS}1 zTV?E&AV)?ZpC(8VU)Ko+$p$_JvCO(5z`(7%t-0{^&rRx6OAW$v225@BPJR%3nXYXt z@dY(FO%HL#wpqRvj{G|w*(J$W&+xRgB!0-co45EQvz5+w6!yF(lkz&f->b4S6!xOH z&M5l~^YI@oucrIAo6{y-dAH%HJ0!i=FKF1Hzhfdxr`uWexCYx1KZos>-hqD&Dkn3& zHRG8M7Bzj$G=~MxeyLRA(3k=`CGMrSm{<@HOC}}{OEdFecwA<4$YQfS~z`zo&pK79QaLq=&SXShvJZ-OK2Z+8B z!A&kaNZOh<7vdQhc$rnKXYwuCCSRlzody-?ou|#F2i`rbS!9YEsc|c3j2Jn%g++2F z3aZAEW&}`qhC$i>BxHQ`C$!yEN^61)1tYL~O4VKtbPSN@J7*G~Brp-al z>FAzy*6%sB^)+<7%A-T0u*7~H7lZu-U?^(R32joUcV6y#wGy%-BA(i`D6wDa06Dkc z^p=HG&N+IdsFb;)bMNO>gu`ca2GI@1%Zfc3v_g6AkXx4O!Ch?<;{lNpx2C%c|HHJd z;)pao;3aI<;k(ZvNQ2(>b)VJA5kdpYh9UG8+1tT5RbGYP1Ng|kw!DZtOO33#Ym$e^ zd{^r$Pb5Z0uW~PRN5YV6Avnp_p!8M21}tDcH}2~nou6?rtM1aQQ{(+TH95k)fBGD3qD74ETX;LB{>q3Oj9pjwl=1nJADb_;a7<(X5>$=gKnrk04QQc5g#DcyW|gqEzZVJ@-Lbn62_RH|Tf z?OKtkdD>J=4~@OkM3UTXUg(Pd2V(0LodenBHe|2(?q?or^#eRVkBxS>iMK;urK-=Q z!yY@HBc%t81_!0RS++0<6=z4@@-n_UlG)|?9M*-uOfYm8wK2tLY(lSKP(KW9e}s^D zBU+?4Wyt2GRgzkf7d>wU6t7#_Q;8GFD`!IWAWE7Pox4WUn590A(yh|@sHaw|CQu~OZ{Z;&l(f_n zE8x2DfjQh1&3~}aNG${KeS-slULW+Wk0H=|bQ!2dd+_5DwtUURXbcCnWb1~rT#~oa zUQ{%scrDpny=eA0gRpvioU0&GawJ{$pz+~u3Oj-jeWSQ{yZ@O}y@_E|hsQ*JMyy|> zYAC5g2J4^`*6fI-X{LGw%%HP^8?&Uso|eJD+1R-Gm7SyGRMsHcyD0SYH4bSFc>P<> zOpnsl?)VDnGJP1%QGAT?yy*z0CjKErO{QShs`eSVNz>8J*EYi)&SB)H04gHe2#)+{ z>%7u@t1YL*lKs}oCAnKR2NVVEGTUCOnL0M4R-fh&FE=-)8IC^x%1qg3p&3wt1W?F(3Sb_XUdB!o- z_wa+JwPUL73O*=Myj$lC1l0=KDDM;sw`zB~dcvnRGry3+T1Iar6oNU^9Ck6EQ_8~J zBjj)Mw3HMEMb)tDl^sk(&CD-mC477tc7rhER#$UGy1>h(FkxX_lPmyiWxa@kgc-AXb zlb|EDduC}foOEw6cod$BHxrONbwi#Wt6Ho7S~StdAxI=~v7mR8Fk`X5KZX1uq7^JA zw4okTiu5uyAjStA>97JBm{gsfqj<5@$X(&h-fe;T#MtUSLgjky-(ktCs?$JX4_>fl zIFuUb^{mA8e6@k`6`<7w{sNUzAKSN0ILu=**MPH47Wn)FfxgF!XKY3r`(4i7O>*wL zY`ssdoqa+Y?h%Uv{I2vpeg%k`qMC+g&FEFEwOqTm60N2IBO0Yf(i{1{`~UEG!PC1dwqyn|Vm}rggCwi&Y-yBMT6HPu~iqnd|=7`(Otxx>Z~A3Oj?;xjF$Dii9cEKZJ?F{(qj z(>7(Z+NI%!8H3|IJuF+j`UPJd8LWg?yk8#6)A-0%c}QIgIrocR7FFjl9+y03u7at5o18Y;EXpt+7 z(zQ!HZa2cuj@N@$FU@YuG_LefHJ*;WBj^~TyWjuAtV%Vtvzhn}v*Tg5#!)uESxcU$ zN5WQtXp^-#%}UMNx!<9p%R*$QGZx-zywtv6+OF9k-pbcNkSany+#1&QJY`1BB7>m3 zowiTs&|or;!PM37*&_eJ%R;~HU7}uH`3gSJaj2_g>P61Vjt>|MBg?@)YG3_=Heiu| zEaL}?mpAYm#b%65C3HykGAnbEI)g4qr?0~dKE;p29u*j|gk)dut>C643*T~IzI9qr z^@19nE|CPZuBO~>==5GmB?;Qn`xNOdWl%UXar6znSkx$RXAxp-Q$3Gt4hut5f^XZ9 zqmTZ&kv=`nv3Sxp-l#VDj`zUvmY!#Kgs9AP1=NU>8o*F|Ty5G1^_N-EHOnh{@}2LZ zhG5x=to;Z*4>d>>?Zrl0m52&uB)gZ!3Oel5{_~t&K!$luWHxWnU-?*hU8qK9CA0-7 zmaFIhODgCZ_5$XV#95zJs(06&28Z)$Vl#$2E)_ix!Vs55RT*SY7M-HyMO*XrdljUH z93&EXs~Z0^S3 z9)<$n&d*v$(PP({AFIWn>OK^Nln$mH_fI6h-^zc4!8Kuk91AxlU*leLS&B6n=`>1r zL5)4O{0UG$c$tcXklnA`6dAC!7!c{Kj6dVb$<>Mt7c z*9=~_GDzOI_<+&Yp=r#tB3?+r#9fnw3ga9|nm>hpXhweKp`i9h>YhC@zvWo1Nw;x| zqwy%6HV|3mXsX3hh<>u%tja8kbD+RzqWVa!*55@`JFi#o0b{sibr+Z@Vp(+<>4RA` zOlbHbEgl-w$S6Rr?TB14#1opAxS+-=Bobd&Q-G0$6p$f9$9=i#D&fYWYndvk9eok2 zeN{2c5u;77rfqRqdw22P0RxhRKk~FfmDi3QH9*_j&`Je<`*(BSy8Xlb4h!@4@TTSX zrWx+B4k`w?1BbE}7tIRp8;S3gwx&;T;^|s+$M`?tuhA>UKp3Rfyufhv9{SrrOD-ND z#<4afla>dXCz!UCvDr&!JJ-G>;Qg+iv;glT{ssieF%M?#l=2j#qYW<4-x7L#o)`Cd z?>cmF4*9?z(+Zai+xAw(2lGXof3TJ~&DqM=n)#(}8&;`KXT8>Lb)AlxA$4_bbKFKj zb;<1^Fv(oK!l@$sdkwxA#TsNAUK7lfmj>c%MawB(M+0iOHro>ZkW#h{YQH=8_CRbp!AM2mP)GwK11q&T;2NK2zA0@FZd?g_t_EvRrhe5rILvoFMS zQ$F3<(z;3CQW3W~-RxoD5XVcT3MqS>ffgf${&D92O9pUYqHDK_cA8Q7R8eS}-?qH+ ze42kCX(J?NS;v^KrLc8aHQ$((GOS1^IYrK~En|=*PmZY+zybP!Vmm5gt{2O%l15z65& zs@HY5Z96%w?FC<-qcfiFF$Y8)y);=64_k@&xuy>~$;e5u;C|s$mHwXMeWRv%>Xqm@ z-(Y{MYRDn{-jx$1O9lUR)RH{-L7nFpJswyQ6bK|eS4sH^kO}(O2di2g@-T~RWFO?lm6H)VN8EgOCP!k_rII!9bte34pH3=l1qz@?Whu6%@ONu3i1Nl2c(paS`$V$4XA)ape9($tmWqn$zDkpTGaz z!p6kH!M**fAk~Bf0Kme)!NbMI#m2|^T^jnU<`kQhoFaJ=hm1;B50Nr8O-aKFg;^t0 z1%>4G4Q}JI3A=lE*0YN!SO(u$w0h!I*wEPBBPymGTC`3LR4KL%YkK<`eQdgat495I zXa0R`9Q1GEq`zxhaj~&5G10yIRgH?SG=(MKA|s!~qF{yUS|SPs<)_v^v$@xITNMc@ zum@ucd-VM8HK|`W0%2F!3`}HfViFqNLx_}#eV+wAD^su#ggx_NskO(wbBpxQdh-8oMzjT(kiKb20*v}Y!<5T$A zTnYGMs-VcKIQ?2IabQ`z#eTYAJ|o{MzkG*=jI@RytDupa?Lp9 zSur>c)w{fHxH|FwLLhke0m+sin-|}08J;28X2DFFc|})9lE-?Fyo?e`KU@b@%t1~#v`#Z4%y|A(UoWUJd3m!`Xo_l^mFa(<= zdvpkY+A2qx?_ilQ_~wf~x56-qM;Xa$pnN8M-4VT~h)QZjIR`CuA%yK5UkRN2<=%I- zvPI-W@hAiEZAyF%zP^%OAs_;V-v0EeM6Sa8WZU;aMg(h-b)iYDPt-)uD#QSFiLjT5 zUwy8zO<8MWqTs`IO`{8ywl#L}9d4Y>0Y|xLfpTm8PlL!)mz2ig?k$)B0DrW10 z*=OwR=XqS=EJxTVfwO5#i46Rj|Z}QWd^15ssm%ttr)IoeC$R;Fe zRy%ZWYc*%PaMXm5jav$rUgZJE2 z)Eq=1epC^rDNM?604m$tBY}rK^YNe5ZMb)_d8EH4$^$+gsKKk))9){yv*a&X(O$~j zr4NluUWyLw5w_Bbhy#i4=6SF+--%Wz&iRt<@wh~#)?QowQq@v*P0GoYm_>KY?iRkx zmt|cy=(mKW>|m-#_liF;Hs8l)_Bleek^aw*|8o=m#}oc%I{beX6Nr1q(*-rglpOon zWwqs#gHV_t)5~3%4OvlqtMv5y2mA9s0Tb7oFFO|Ko~;`+Q?0oHHAeN z#AGqWkQN809R&P!)Q)?(o|W<|o{B^?7-1(w%!uXF3-(nLl5G~IxlSowNGXhtqM=%J z^3>~Xlo-9{vJ!l3_l^VPB?{Dx!?I zX&l0=SeNzCJ|&Js3Am(qj$6B4TKa%wTBS#wQ7Q9F7xT*9>Ak04h)-BY zz^}jH2K5SzdV#)5eUH2#Ve5YO(jp*omD#%3$6MIfaRT0axBN@PdTf>^ zXL3niCt6lf)e+~;wg~#^&gnlrmKFL~e`2KY`-J~8qrY9>pY-X!+vwNT;e}jilJQP^ z*pH_|-9HLahPu_c@agii4sN6P(v1-&!m@Qya7*oRnDFho1Q>$3+re-pBv1o1w>blT z2pW^nTG<>$nB58}8sKRq%eWgf%^(A61K&h*@8lm*zXp+#c-&AA3{SC>?pcZ2T~5-f zKp>-|qp=jD^>*3cE|tXu7Qbe(usdDN2#gyT#s+JciD7ZfQCwQqr!aYv$FPf(A6#=P zc@y?Oa}(G23JlN<;;Ix!?;AqOTboJlr18BssQ6sNdfkYcw;K#&eKT^ezJ{fEDbZzJ zlYFy9B}d(s%OR(-nspj=r0W>*9xVbgem+uEr%o49O_|*7ltLi zbG-p9?=qMPB>vLy?IPg)1m11b-z`kE*(_|N9 zNpi~Z+tZu6GmOMI_U^kyka6q_-s$(P@YIrwaSZ{D#!id;1hhe|zW)S7>*U5x`Bah%R*&W1Oe>ZI6O>dX~RO0ZAxJkwca>&ha$E;AWM>+5`wipc=9vCC7zjUeDEfR;x-><99g@?G0G<@Hs2)`gahmUK#tLF=LQLAGE~p&ak|7>g+?S-H zoDN-yC)DzZS-*oHk!C|Pi*orJ|7tSngr5N0eWt(o<-d2(UjvN)YZzHO(Y{dfkA3eC z2NnEt2mKct{kPADKfk&^PWQK4P-gQJkSm-F9ldu$p!il~V~EEWT4l`Av7@eHe@=R* zQ~090|HDX~=ZBTMW+K*hhx}!H{c(c{*12~akogeYL^ z)67(M7Av;(IuKDkQAz16r}RyP;ArMgfa;Me{5^@Z|nh0tMsoH$Dg*{;~v&0Ydi~OWH++ll;Rz!CyS#f`jJa_ zChXytZ42ifY5>!XzN6(-d5Fx{S^j9oijz;!HAD2i>*?<4i)eNsX%ah+pMbMl>yeTR ziD9&-x7HKIyRa@--PIy{E-3`!aaDXz|00C1WOEieh~m`U1I!V|4xY2!52>FGG< zL)O}0s0%phWCd7DOi=+=puCIH=?``1OxIbbB};e=!A<;zzf6s=T0KBuu{;$}>JE5i zso`R#AtErewDOD=WID)wdRGBThOn1(zS#EZIWWtHp9Cx($}0+_7HI^%ZDYVt^o9D3mtT5~ot~=LGCOF+O8fuU7Za%jJ^u z=yX)5c4csRu|Y}l;_*UG+)ebeQ<8;On1tL!v*$*{IUh@zR!r92_*%*FhkB(Q6J-lR zU@#}y$xAM%$=$7=fb@^tNcNo2&7{yX#||8}=p?DoVu}mpTvgEfX53?*K>3;$x!2kr#}6J_bbi(UB0AOnFXw zuCoc_7!8URUF;fY(>i9|s#r&8$95Lm)1}bZ%z(kNtlQYtsAl_=jKW ziQe|;h-}8d=r~9>fa4w-H;Y}EbiWB?vaKUwwTKB&)$-2>+pj^VMzcr| zJD@E3|Jdlar~S#1es$+}xc{@${nw1H=+GqjZvgxcne@Mr0*-w&cm2Cwk@fu8FJ`kc zvCJX1)#_+aP)8D%jP3~R3}iX|I%vdb)`}Tc;T@_7cjQ}?Thu68O-~->bulNU$_PYq z+4UWa3SIOleR%oe?Q0eVrG8qJK+}ruAg6&jj#9G_*g|7lQsWvkPwQeF0*fiaC&o3cJ)mK>`gj+OG^@r7QAzI@{5<)@50%eU$datZK#V1WGp`C$q3%7 z3*w6PX4NQTNrLX4Ieq0lxW)k$J$o`)H%WBw=C(+rDYVp4LT;B`032v^F)eP)nMOiB zY-ON?&>@hop^J}n##+o*3@gsE&Yx11EeSX=v1{k;>y?w6wKR8|nZ_!*#5<=hQMCE~ z(edW;fs}3OtB(ez3fAxTMJ3W?XD_+f1wKgc zKA&WW6<|;bkQxc|redSru$fL!^Ga>8%N%zcq4UV`aTTWd>tZy}ek6E%U z`+7AtkTy*fU);6n7d+GJ92Q>P+Ly(+?x}ouq3!i`;ax8935Dszq!G=uc0;3L6xv}S zu>~Usy?+akKLKG5N&zqFDLKL0*jR^UWtM{@dt3_-^AhU}e|r_d9bN^orb(ERx4@r> zIc(_h`4@7^|HM82HfR2X!u}VHerZ|%>CF1=p1&2Vzw_bXfI};;p8(`<)_z~_mmTrU zo8IK)Y~f_)g3%5+qgPTU=nS#Gu4=nRzk88l^L_W;?f7O^;-;v1-6&_!2qnY;vF??n zvBOZ3dYX$YHQM>`;U1{9hzcU4U_Cl+lZ;Y5d)C7)`$5Ju;=2VQ|D%se1Ps73SEcII z6hSSPg^*rQ{9RJoOtP*nED32W^zxL?mH5!;2=_TswdH6yxWCVYB2*}6Cns7L{Yn#4 ztCR0k83EUYPp62pz7Y@M(5qOU6G+`v8Dc;xlayu2OS+O*0873Mj&U3b3#it4zkip$ zUUjN=p*>clpRz5G(%cBVPZi~W+uZYxJT{@Y4}xY8na>t3TTi#YJN=jv&nN#Tn;VPX z45a;CTjH2va+;ewY&5TedqW`umedH8it#K}D%!tNi!^&b-~NsCqC$-u>UMI2Rbw8h zPMX*ep*Exv!6t~Og8mC+ddo_n1z-a?dVpM|4`4_bKbsdVhf$xbsxi@b47OK6KLG>P z1)1Vc86&)21Q;4eiPYHU9I#7tK-}H$gih*A95tkt+Js~vH`|6~o34v10LtSYKnqio z^}*yoxN|}r2DQZRRwSG9S#yn8=?@ksCCJMwyv86Ay)oC%0Mo#HS7BEj0ZO zB)Va}QNpdWsF{Dyy74~u{YitxB6@q&Hvd0#+e8_k66KRnuADxJZi?L>O0S>VUFW}^ z8d-f3+<6miaf@7RLl^&qcn*fJ{lV3#a7*s3gNgiIwy70eUW>|9MB+zwQoyZSBvAlN zwmgpBHjRy&5u21NdqDu8=6)Omv`-G>L@!QGq5rPI0>HG2anLVqtxw)f27RCu0!xKm`)qk0C61$)duK&-VpihxGsekD|CD-1$-#-Zw3lyjmQT1I(KX z;yy=@Nzq(7Tb{`S{kx;pB+v0TeR_))pPtbKy?T8fZ`ttafaE72FzATxt#_X6|7!0o z;HuiY{NaNjjdXW+92!BoyUT+}d+06&lst5YfFRw1gn*z(cMC{}(j`cvl<%RuUiEoq z=ACC|{&(j3`LNxv*IvE8YwvS@u`7anT~L{pqyOoN*=+AIswjZN00VwOv#gtLmPr4V zW^vd$0l#S;j@dF77s10bl}cHeY<$_}F~B0#gfgd@6aXU}F(7|(a>_}Tef%+h05RlV9@cCWc%L^eeL|8FfZMheEXAZ96X1Zb#t2sP z@|Umo7X>KZ4RP1Onn>mG9=4S8$`uCy=%^5V-N&##$&W^Ap5P$@*sXmj#&wQwHMHu? zM;G&IeFLD|ZE1a_0jD8+%Fu5z*N&?^)LPL;4AqT1furC=#T84Dr+FDb{xnY71OPCq z!ih$PF4a(ZSg7_`pBn0Q;}Ex0`HXjBo*lF*$BLqW8!mHLA*QUf$gAJu3V>@v%=L3% zmEx{CEKnnah+Y$ZsZ zIEN+!Eq96V(r3Y(>^+hIRMZP!RAbF8W_tqFwJ|aL0XoDjF`*WxDc=BYC-7zC-vGJa z0Mp+9V#R#mg?&}VnJIa!E$00c?!-v}L;ySz1V$5Es)S8SsM51gKhDr7$^Tp-hn7Oz zIQ-&Rwe+ndQ2;6xUnbIo17n~U!WuiC-A7{p{L2-HBvw;#N-)@RPraP$i2f`)ar9U^ zMzh$(l3Dh+ZcFOY=esBuoGOhooD0mzDK%H6?=g3BU$rW!u5&?Ve~52r%h`LN!K zYd2^@z5%E)0N2-}B(bGf*;wJz#V8p?Dr2C*jp(34gE&zIg`}P^uy0|Ek;0?2OLms2 zzg5J}9bOKUX6k?}K3VKIp}tYcYrKgmj62@%xnRBBCY4EV!H#{yDjmDACL!~-{)xJ9 zv|B=w*k{^LgZ5^*823BxbAtqUhh@QV+%Dwu3qEquuVjX52T1YsjS%V+E2J8)h!aZ| zNM(i+cOMhK^J{_V2ESC=>-kN`v%!XRogzmv^9va}18#RYD_|}W@ zf?s}2WgIg^L{C9J^b?iVJk_t<@mJu==Y&prk6eC9aw~%NU3>{yK`)Q*XI2isX@&93kKKwC2QmBiYJl7j@#XKV zLCdLAN=mG!gZ!4K*cf$JM4Xovz<{&S_rBZ7`~+Kqm9@saR4fC0N*7CY+`9O)TX+s7 z6eWI8MOx`GZOh|B#Ey2cE6-iInZi3t-+ zv}>RRsvkyUSTG9g-cEh(d$aoh@yc8xr;?586;c^!Z(+JpODd-(SGz%rnU?&W^lu{7 zdqRcr@@8bt?F+^Ff@eVr{+;yuw`tNR1w!jDGr;dkLA+#9ID;P@S~;tMdWb%%muvTt zZTqLZdGsRr&)$?Ah@kzB;2uBw2(5C6NDS=<<}ia-z9t0wlWpJW#Ygg-R{J9jXA=_1 z+GMhDX^mz5sWX3$J??0-M3}-KvCPg^Qk*<_sc1LD@z7cCk|F$M0=%)|_B+kWKU6=B zrS^=>Q5S=j7gp6_w#-+8Ux^!ov&H|EuY*e9!KV|uW<6^AL`uXy&D#i=5z`cU%Cv!j1V0`_gZe6x>H6K zp%)y8&vfnn95m`fm-VyYAJt7G$zA0M#o`I}@}Ghd2)p~x#2K1e)#tU(oNnK#%+ftz zZVvpli~|nEz5#x4c$&WEB;1`iiXk6c&d3u1+<(ZWiW$?^dK0Z4G1noWgnubPLrIh!7PCl4J=p^qyOsyRh!Vb1%tSTLm>ofiZ zgNVV|+`dHL?bNvm`->;A_UivoR$ZDu(OA3EGjI7fhRqm2i#sfnn`kcIznRfXnI+;ZGt%=Tja3d19WTRA#8zD+ z9KCefp15_HNRs9v#yAQP7x12D7H6g5&n1UC3&se5Vn5S|bDVqTth^Jf zDG0?bxB}?+YySWth<&2}K=U3}28sDn`N0rFTOj!%$}a^1#T4Kf1YIRO-5-wL(&aOJm1v8TUhb1;H zU!^{M#$8kA+uQJJ@wUX8Eh=|KOgTI_8!&@Je;t2=sgC}xG4zkyMht&OaGXjH2ht|`D5;Iv1;j)O3(D|>`#97C;!IOO=2A;3iDrPrnPyU_ zMvkz55K-_`*Ppj0iOH^FnbyRiR&86M7*gP-hz;jc9PSkw4pU>5U`LvYQco=E@Kbb1 zV>DJv?!7)Nw9^;6oHDqMXF$P=mWIG}M2jBrf&CqMKKqoGt8ydq#d{4cCjI$~j%euh z!*#E1GRdzK5^+9VEX+3b6L>vXz+ltT39gg@nYXuG8w^TcOSF>>Tge)8HYvsNFHu>L4=xin&%I$4t^!ZwIXAV)%Xak)6#&_+9e>d3Ov zc6s_fbcag58NGitLe62w8#n#(ZpRB|Azf1YBlpzVUlfV|&Ey~Z1XN31JfkON zN#fme?CXgV;>vrG!JEX@UU4;F8<6{> zNrp0totyCzRsg;EoaL8z*ge?p#!5-l2&5@9$jKxGZJcD;g(rrjcD!P3wiCKxz%ZTP1k zRO>gE+yHI$+WS`d>66sXCC}X8PjCG&;@Dah|JK$@%f%Ib4Wu{16O0XF%vyw;@aspA5+#L;Kb6>0x z3qCeD(ZCzkQL8Oc{&QjwyA%@*EcBC%Au{XoWOSzqJi{>d!S~VpTj{GP{^04Xc{e6! zmn1OsQysga4ail6##&rD5Sb8iTQ(nJ{Eh+guS1-2BQ^rs$=KQJAygwsp z_{*!%<6Z^9spXXIwBX-M4HOA&C^VkvTIJ&@=!gKTjtaaafh@+OnWSXdUa9|G7gUwm z&#Or<%3p@}T3*7|RbQC=o+wv2?1%lO?l70N_*s^de(D9wj7tf;YgdV)eU)ebCza-- z{1}fwE1%D&y86KkQT(=i*b=>JDHy*j%=^kQluRKqkQiD%nng3|bfavR2rAkeG#yjo z=1D2k`+EWVNeDqOm~1CcMh))m05+E;73wAWJ)agznv=feI6neOzk~nyu9KmJWlRBP zlACJN`LV_M3e$rIdwC0(q6vdsP%n-$-y4D#$?*TmnnAL3p)>wk0bw}T+Tq5M0+x6#CFR&o-NkOgv=Q#(3} zvGMx4*C;;eR(TVnM8*{T=uDR@#Q3A@5vT30apz1Nvk zLy2<#R`txQ&u$Rz*3_FDFiz?@KRu$Oyg;A<3CWK$HO0DewhyGz6|L@sX>9oOT=~&@ zGBoRy&tqeumnUfR{UncqCZtC&-{|~ky!uNEB51cmdtaesbj;`4t3E=-bz_x4>-_lg z*9Jsd4<-6{aYc27isl|AZ+5dDVO6K**vJStKZX|m(q4g+P@-X7AE*|ZRkC5tF9UJU zk8DN1^tAsYsv7IcS`6cL76i!#gSoiG_CocqoJJcE+*lEOFBXZl&x$cG+^Tdl@UbmB z`j;zTkLAC%5b2z%I4N8D%8FhGorrE2SlUZHBf?eC@MT}WH61^JB-ux0gj;z1_^UTj z19!M!tLNMBll$oo$})iVmM*< z*rQ|W*LiooeneO?rc%lH&?3^|Kb&aVP%oY?(E#y@M!bAQ7y3Hq!+_WY@RF-PaOj^>7sw+Iatd^OC0NjH$wCN`P0qD$1QpNdlKNe$^qVF@G(A`nIQ8 z=m%q`gT*(Osp7Lt+l-ULg22cQ_n2Dp#Ldk@muYLHy`yQh^$y|^mmqUDT$81eoKYqh z7TvrOQ&+;k6bbF$0HtPa4?h)__t$4P2+0sIU7eWdRy?T^pnGM(<@qX+2)4YiTX;L> zc#Guj_mfeUB^zbAQoWw(f53t#RA5pg#%k1wuV~!isUTBv#b-wLe#|!Hs}nTiKG(PN z$$P22tNWmPH@b>gj=B_fS{!_@PW=9YN`$&KEHD_Z_4_0Y)Lr??D2p9Phz^7L>1mB@ zc1ddv{FKdINJostP<(3pkSMu@5OROFGi+J0k$3mB~T9s{4(~(;>Y@(&49niS^|&L5rB!uIOVhUri0`odIVqWD!7;l+&c!ycfd zzzmsQUJX=fn2Zt{dB(65Y1D#jm4F=*(ED(O)9ZBV(PD{%1pSBg4l>Iz9N;JZM?Bp~ zt>TMsoF5BA$1jNPXxC)Ke%_x)=dlVQ*e4N9UhtpIaJqX_G2N${!lMYJ+Ieu~h>%>> zihBPBg_2{FgKBLVDczN{@8WL-VbIkY@#lly-H5#s_FXzzGXxt{ma55SjUaa=i}1U2 zsKQ9kbd{O#d114^3%iouUN#Fi#zK$#TU$-uIb8d9nA%zo9l?f>=u=dE>SW?}rJbz0 zv+3jOgapGeU~E}s9(6T^(4^6rUCL&GJWfKVPd38Q_ujR*0yk* zz(2PTv~*PR>@(lH&&G{lrj_8eK>Kx;zw!IYIg8jk6^~!t`MnS;Jf|0VG-Jh!b~@#A zqOMwc7Srm6Y1Co3S0_ZRsp|!uJbx)A4DR-qF!`w7-==i9@Yw!t%g(ImxZ_uRw_Z34gS7GZFeV=In%& zncCKfySuw)iBgSw-_3h+m=rxr>hVCF7QXUJxRthHwN8QsZ6eQ}P<8c=-T8?A{&$E< z@*IlB7XO35`2S)Lpz}R(h-^_=WWREzWZ4kx0>qPu&Z1OQ0_Nu*I9H8u6kV^{>Z3CVymREM**iG!^)Sf=0;chIJCoH@} zw0Lq8v?edI3v5b|ca`I5I;DWilD42VTC4IFC(>FzknxtvE2+#jz5;O@(sCx=U7(J zF@77|#|qG)6|{_EMTD#|OX&wh4UmSw#uYj|G9_a&%-r!B6Cl=5Ce zK;D+>hUGGz(0I}Dl%=uhm`gSiJXWh^9h;83n-XedU#LbqQv5naKKl?pHVxbXo#0b<$LKSsctvPx>na#65#l2_MIloD#Q5Ge--_}BVzO1_+9j@NV8F&)b7$RxFn z$xfZmq{$B@h#c^aA+&8m+NOHgK6&a(4(y)%a5}~wP$R+KY^0e%+wn}UxB`iiNvl01 z=ysuo|Llc7na3Zzj!PF)(Z81lL$99;*CfDU^d9SJe63hl;(KDa#1l#&*R>E$oU z;7_^Ja+)D2s3_CxcR*AiDR;53K$`KokNqRzARp)eO)5-0l)Z_gc_ELTwo_G_&#O{j zBaKSOtUgC=BlI=V;TkfQ0EtF{Jl6)Fb~dXNTZlZ3%)k4*kD^kV+-6|s=$ZD@)@;N# zry)CEP{KT@IqsX;!V5(w-72q!-*|mzy%$JIxt^Q{;}A(>j&5D@raguW;f3}`g9ooB zlZ|gmO!6pSnU|ag`e%BQ0g*Mm6y5HC4QfJ?)(EP{-oDXYUkFU9;Kq*bEb5fL% zy>DLd24vDn=r_r1e@TIF$nLtB6cM%GtMRbrQt3+yw*Q=2VA5{@7CDhf%&)wRty51w zacx@@qZUAiZ%(OO@UZlZgo>2CglG={bMn-5uE+aLyt@et7N+iL_e=m(rK<)GPsLO{ zw7$FyOQi3DYrhicp=tiI)e12F)I>o!^BFQccjYS6$7Z2IF4>a1#N4Q0@LR1$(YAyq zQXm40cxfF38X4vEm|P0Orv3%Dw@<0gBZ_-zCm~qC<$t%=L=ZiNfVUtF6g)V#qJgLq5Y& zO!jX2VQ?g**Zd6z35XKw=WHOghsJp9-d`!neqRr)^FCjM>P`$Ac2cfVuSvm`h=@#} znna;jXh^l3#gq_}m5J?f9svM8D@-I`)Qrr%VkfcJY5^5!ivt`tvC!}9)Wd~#AU!VB}{A^@pL|)VDI7DPE+jQ@`NY@!Rdl)6}6xqg&yv(1CIRG=0|U`-CAO7tlv&eAGHGO5hR6u(Md+ zkyzUzarb27=MLv`)?y7Z|Hm8We>$U+QTEzIFh!F3E;_dY@-R|}X%{1%X}Q32+~Fba zZZ7C6Bqj5h4_Es9hW_b0r{je0Zz!9Qza9EG`x!Tf+UL&Fl+0p=A!~P(BWH#hu446U zf=yX7T1o`JsYYriTP9;qtKTJ&jK3qQrgJGx*O19N7dbs~u$-`;hAm}FPj>2i8ZS6g zv$xRcMr9#nWLTs-esQVvF*#Vo0qP09DtQF2d=Y!tV<=5m*!bUjjMDPN+E=nNS~^He zJ1O4rTNZ?mz_{B}!Xf}z+lngUO?E(+$WK*(F8h+Jhou68e55#qliq?BUrc3i4GqyFvntNM@S2h_40Qg=ZIDxLGwR4&TSilj-jF*HzStH1 zs?4E^TsfF%82K(z#**ANPl%Mw$WYH^5>Jg0Nx!>F88Jkacs*-70C7@G$>G6+(30t~?rI6c_%Sb)5mm z;Xxo_dYLOLTXZ%%u3VcOGp-ac?zEZRC_+G&lB6f}QJ7e3^H`Y^mf6jT{13^5*33^M z9Mj1hj}T0U3^Jt{ap@RMj4^vfUVu;hYykoTn-Trx9nhbX%dw^6;K=-z%XQJZiY~^P z%6%P?$ud6XUhGiRHALu7`e`{ru%crY|ScXMr|5XHhj2Irv;{f|5?y!?*pF9R#^S91&g}!DKYk-1!4@?s*U??k~fKCok{` z`jz8HRu9bgOxU1}8leF323^z${D2MzRbm*wP--T5(LxW8syFw8Q*X#HS zp&uecHsX<YR`{Z*J(_Doo^i%1Mh!xf3C3{Eemzs37Q~0k%a|M5;Z@fQ` zZ1NW&&nPeba^EMUw3FKD(i1nc-I(r4nd3P+$gHKSdC$Dy7d@%Q1&+T`dsuZDdx47# zA$YZY9rPE;fqzZ@>ig_|IQp>66R<>GtKL%F)Grslmag-T;?gh9_XGQ1%tBM5piIDg z8iQjN=nys8rOYWODXqlP{qzuS+>8xuvx&27;73Pg>+B^+T9CLF^8#%@h z+X_lCZ6D6Xf=J52Nt=71MVY%>wuJ57+5xkp%d)^{^HDOfdCy(GM3CPk`u z+?||@Yq7&-nKYec){KMV84=oSbM2o~TJnN`qRD>~{sTw;&OP)dsI;v>3=6!sD{_QB zyCtrnht#P>B9EOk+gb$CafH-}l`)f%?J~VYWCK6#5)*s_tdSvf*eWSV&%Ah~ZwB3j zvuZ~FJ)XNj09K`Q6&f1Okut~3V^$vX5XV;G>a5?hl9MnFb3!&V$v zAoOX6{fhzX&G)W7(g>Z7L)@(7NMqKM1mu*p8i1clk}UKCg-$7H$_R8&!UeKl0^CPv ztwL)UI>_PAHH`9sLgOz&4sJXF>CE`l1eVnYMNf&7QwIkeD)p|V>v_*y0d-a?TQwE5 z{z`lBk~WiNQz2b7{bF(RK8mmW+*opYSxb>em%Aimm7yDghj=m(1w#Vg-(d>tGtCcM zTs~~z`X{Gnn7MkdX zC!(sdTHa(wt3>{%lt%gE_N~|cCWHp#caHq6d*1msBiT3)w^k2KMDA%<%kZ7UWOx!U znUc^tzeZ&y~6tpt$ zTxo$?p2+>8p80lW#5oOe#n(h0MV`M2FM;d7-#yOuHAZZ#t4#+YeBfFVPVzSfsEj}(}SPR zOf$Sdov?=_Jnk9tGO-@8wnP5{MNWMv#G;IS;Hn~etzuTiu*8)>!ZrSVFl40vgFc_w zT8ks2{%w77x6=m=Xokts{UQ5|#Fx_;ErcsDujV&i3*C2G<48U1@di+xK>q_q7Po)A z#y-?0BDqK^QvYCXL7N~R9|=#^leNR$!hwP$HZ8Q0BnD^063;LALikG;V z_tsZ|y`(R&1R@MF(jQl|=D*5;C0Trjlh$h_<#=b2DVe?jnn@xLxsDJ+Y`&wfr^|4H6J36-VR*&HM zTSq1M!Xl)@lDgN3z5(24$u?!)K9E`P;_Sw+YMS$DoxYtcpO@!$^OXs*n^Vy!kO19g z$<8oEU8C;Fo#z39wIgc;Vb^x5Gh?1it?q>}zV9p5ZMlp8Wh$7>~(8x$~QG&iEK}p!7|L$e(Gzan1+x z;T_lAUzC_~8AC?$qsJF0a7qJy14!z3qRAac>^c|EXBX@Gm-{!>#2u5*i5`98GuK6GQwDh^j>Rd)ZU^9k$2rT zzyjX0%+GdodGR5K;zogzs`BcygbKe~x?mcDLU&Q+J9 zGOlC`JVnJ8EY#4%ep!@rB?y!n5b!UnwMLtRt};2ByM`Wn4`s( zy#HJZHPFDrnVCv&mQp?`FZGolfzMZC)zezEQ-n5MzQa!{dkm%9+Wd*PUf993TL_EY z_3dUBMH>J3;27ri-me}cKC{R9zrhoKfpF{SHWsFSDL-YU86M^l+VL9v5;zatj(+YT zs2mbV`F2}rDe9g;Scpkd-hRaWvlV{spIi^T;RPx^5$oTg;SLlCzkx1%$11)gkk}4^ zG-_wDu;8rhFDn;z&|`A!)qlKp|Jwir`25CN5IT=F&m2iYS@?zR?@)h$E&h877| zTj18VNC5Kl#?j=kaOWHZE+9~04?!0vbD2ww(80R6wS@C@w(5OLlh_{tNodzbaSTdWfHq3T;4l9FrN!B9bsi>?l|g zS&ZW|opZNQEtw)U7tLBjL zA?WKzfg}N&A};e@X|&I;w*U|x1qBN*gD5Ow7xFt~Ez&hu`N3i}1tWZ)lXT8IZzzc4 z8{qK*d8nb1rhRvkohbNxoTi9Pi4*2!j1fkp4-gt++ zlC^Dn<0c)h1$%*FO>R%;K6H)Q$11C*Go@EukZCjW)F@u0MDUagx4GTI9P~!v{Y-r+ zZ9=$5xWoMyj~0K|_|ZN>+wjZ3Yyz6c5H*J&SS+LN^YqrhYscq&1m z{jULo38m$H#ZzMQcVBD8Vb->;>$sbt+NrFNtbEpS&x1+gRTGO@0q3mXg|m2NL4+k^ zM409ns0FPio^5s^_D$>;)oVNyD-S_U487K+Cgl)^`K0QM1)PP=eXVK6Hx%Pi@N$pL z7&2=vsX2YQUc4&&jPnu0I@6ElO?jLe=#rRFjBxVKh+Bv~;+f35dh!gmPN@ULEL=#-Obu+mM}u^EPx}>8LGLv-p!f5+-Ag z7|DD*e+A>=3ANsbx0I)c-vARlK{A0=lSD!YQSbMq-;MiW47^|*AG|%PHKW`YGF@Iu z^pTEoLG-1VwMIo|b#YPClP9>Z%KK1MyI$!E3#^??T=j2o_`esVr;A#eEmz z+G^mT(xYx)+_!llF5DhfqU#aG&w3IB#b5iUY6idE(ZV6st1ZCMFkvnbslSI7@6$Ya ztmQ37N_g+?10g;*-!v>uM{Kgf5EhT;&q?%D__8LCdKBmDaONz<-{w$Cg4KwNk~&Uk zS8yr#ld!R_!z;jd`nQA^{bU`iE>xAN|8DdCl~G_uHZQ~;Nl8wy2AR2ddQlUAMQ1>K zl*tMToGFATdL-}fMB`u1FshS@0Z_QxBIEf|X#JeXFl}eq|NMVtnf=?iL2C{Q(_k-* z_K~9T(S1FLubWBC@u5iSr0E*~6K%XI`x^kVDJh#P!fh;tw~t7l&TBFm;PCV4B4C4bJV>(Bzjd-@-)hJR(c{3nZ6SG;~Olj@#% zm-&)F+_SWp<=rsKIeF5zFQFfCxAb$yR7J-v@aaaNug*50Ko^4yoZi-_x_-?c7US=P z`%|N$3rwWOR}c(k(e5?Wt!qUbe{|}zsmW(PnuwmQYMN125vOKH(m-HpY6WW3AYajO zAGiWk%x86{6zGS9tS@?tq1k!ep?mAk?b7_LkSqR=74n^Ch3BFAA<<@>I6k@!#)^k6 zdY6v^U)W0wXV{PFc-p#eJ9|<0cR0@HUQf*o1$Sig&nC=s;#3hP$60AETCDGeT~+Z} zdo#HESFY2`XMmQ0NNxwkXbE0vD-~tVmp8o!Gp{Ds@ODeMyQ@;7yfZu-eWrIg`s^Fv zVuH+O`q}U^R-cQ7_bwOLI~#oVn=R6Le%K;i8f@)s=19RVZEfUiCTV73XKMC+!*U=O zC*KeImAj|*>Q6?{@Su8qF3q>d?rn)!r@<089)IEm9}f5V%`<;E$ig>TP~!Bn)i|q( zCxmzPlUL*>@={IO6SIvVhn}#93V@(=ACVImA{ob4@W-9fJn{yj(}BS#kHb&L2ff>1 zg0?Yq^1!dXODcIm=nh|Yt9@~zV5quxr5%KcOdQByC~i@araZQ6q;NE6nSA$IVF5F%xG zU@MVK5o|)qR^k?+cUo!-)=XRU#}QISInX{FSt*0sAS>lu8zhA^LxPElE4NQ6Jy(|( zrE$zqHn`mz384w6Gyq$%2?G|tNpWRXsisEH497o|+Mai+$GM03Tb-DMETihg-fIg& zs`@)L-qo0GO93M);ytQ6A?BNwWe73H$*KVP_zZ&TzYa(v>#f&OxRqGAR?ur zTQ+t;Xz8c2-0t$nt>XrZ5)|u(jrylcspBwdsz+`JQh4JL!Wz>&yOmr?VQtR+n9fmF z7r;JvH<+7jge$1&`7Xn>=oh3O9?h1iyfz;AXsPs-CmRnTYQv=T%i|(~1h(+NMT(B+ z?Xb5|hx@}EDuy`y==0{>MV)d#J}8L|B3AE@O0Ee?!E3go9v#8RW-|nHKy*-NnOIAF zWs0q+^`s3Z84UEZm87}J%E%6g8Nfv5Y*B)c@kV=MhE^<^a2w-DvM~Z;l?1KNa(3v! zdkhgg`Jh$x`qoYC`~`52?=7|b)-sY&x&+jII6wRDW>ylep4!l)>?co+6;yER{AQ{_ zBl0yEZZ?hZesfb&U^8rmK8FVS32FMzw&R*{d;}xpX%G`xocbPJDikFQABVR6L*X>cMbpqF2Jny<3wvtM@)Fnso|!Qz1=P zhg)MbT(9*nW)44aF{DG3^g9TUiVPtXUwp7M9v?iDXDKv4QeNLZMvS`SzrSGTLuy6J zZWntxJckR-i9Sr{B7C`9(a&1H;0t`HbwHpVvza(WKDt)qP(fT|8yKJ1qx%5?K!p8G%)ZtPoGM;>gxv5o26j}cVsR4D zyy=B{y~x2%>XF-P8Y8U5m8abbaVWfy=Bj=Qg9Trt^4iAn+K5j~lZkoe?^T zZ;4=khUdG4h1b7+!x2rfvg!66wXxY(&)6VBG^&;*Y=G`0A*+5v-OSe1$=T7&$OajD zW@l`P%=-iEYI@j1aaLE|%=|mratT0D_;+Z0fy$~zjy6sdLP8Ym5_Z-uHnvU_JQVDz zj%KD{6K6X|3LqyGsVUfXC~i{#DY&3N1{CZXU{5m&J_>d@Ns8M-+#Fmc{6HfhhcP#= zDK|Gizqzp)pP9Llkr9VECl4>b@V`xA@TX>AVhn(xlWq&zLRCaX4HFlQaWKY)*ciYW vk1_eZD_%eas4u~ZpV|IrFHro0f5=YGMvl(zj%Mb_oIqY=T3RU;Y2^P8Y?$j5 literal 0 HcmV?d00001 From fff2795f8c49c383a0d79de3ab1a780c80df7c92 Mon Sep 17 00:00:00 2001 From: David Papp Date: Wed, 20 Sep 2017 12:30:40 -0400 Subject: [PATCH 4/5] Delete decoder --- decoder | 111 -------------------------------------------------------- 1 file changed, 111 deletions(-) delete mode 100755 decoder diff --git a/decoder b/decoder deleted file mode 100755 index 07eb4e8..0000000 --- a/decoder +++ /dev/null @@ -1,111 +0,0 @@ -#! /usr/bin/vvp -:ivl_version "0.9.7 " "(v0_9_7)"; -:vpi_time_precision - 12; -:vpi_module "system"; -:vpi_module "v2005_math"; -:vpi_module "va_math"; -S_0x1bcc690 .scope module, "behavioralDecoder" "behavioralDecoder" 2 3; - .timescale -9 -12; -v0x1c02ec0_0 .net *"_s11", 3 0, L_0x1c21aa0; 1 drivers -v0x1c1fe10_0 .net *"_s5", 3 0, L_0x1c217f0; 1 drivers -v0x1c1feb0_0 .net *"_s8", 2 0, C4<000>; 1 drivers -v0x1c1ff50_0 .net *"_s9", 1 0, L_0x1c21960; 1 drivers -v0x1c20000_0 .net "address0", 0 0, C4; 0 drivers -v0x1c200a0_0 .net "address1", 0 0, C4; 0 drivers -v0x1c20180_0 .net "enable", 0 0, C4; 0 drivers -v0x1c20220_0 .net "out0", 0 0, L_0x1c21660; 1 drivers -v0x1c20310_0 .net "out1", 0 0, L_0x1c215c0; 1 drivers -v0x1c203b0_0 .net "out2", 0 0, L_0x1c214f0; 1 drivers -v0x1c204b0_0 .net "out3", 0 0, L_0x1c213f0; 1 drivers -L_0x1c213f0 .part L_0x1c21aa0, 3, 1; -L_0x1c214f0 .part L_0x1c21aa0, 2, 1; -L_0x1c215c0 .part L_0x1c21aa0, 1, 1; -L_0x1c21660 .part L_0x1c21aa0, 0, 1; -L_0x1c217f0 .concat [ 1 3 0 0], C4, C4<000>; -L_0x1c21960 .concat [ 1 1 0 0], C4, C4; -L_0x1c21aa0 .shift/l 4, L_0x1c217f0, L_0x1c21960; -S_0x1bcc780 .scope module, "testDecoder" "testDecoder" 3 5; - .timescale -9 -12; -v0x1c20f30_0 .var "addr0", 0 0; -v0x1c20fd0_0 .var "addr1", 0 0; -v0x1c21080_0 .var "enable", 0 0; -v0x1c21130_0 .net "out0", 0 0, L_0x1c22060; 1 drivers -v0x1c21210_0 .net "out1", 0 0, L_0x1c22110; 1 drivers -v0x1c212c0_0 .net "out2", 0 0, L_0x1c22260; 1 drivers -v0x1c21340_0 .net "out3", 0 0, L_0x1c223a0; 1 drivers -S_0x1c20550 .scope module, "decoder" "structuralDecoder" 3 11, 2 14, S_0x1bcc780; - .timescale -9 -12; -L_0x1c20c60 .functor NOT 1, v0x1c20f30_0, C4<0>, C4<0>, C4<0>; -L_0x1c21c30 .functor NOT 1, v0x1c20fd0_0, C4<0>, C4<0>, C4<0>; -L_0x1c21ce0 .functor AND 1, L_0x1c20c60, L_0x1c21c30, C4<1>, C4<1>; -L_0x1c21de0 .functor AND 1, v0x1c20f30_0, L_0x1c21c30, C4<1>, C4<1>; -L_0x1c21e40 .functor AND 1, L_0x1c20c60, v0x1c20fd0_0, C4<1>, C4<1>; -L_0x1c21ea0 .functor AND 1, v0x1c20f30_0, v0x1c20fd0_0, C4<1>, C4<1>; -L_0x1c22060 .functor AND 1, v0x1c21080_0, L_0x1c21ce0, C4<1>, C4<1>; -L_0x1c22110 .functor AND 1, v0x1c21080_0, L_0x1c21de0, C4<1>, C4<1>; -L_0x1c22260 .functor AND 1, v0x1c21080_0, L_0x1c21e40, C4<1>, C4<1>; -L_0x1c223a0 .functor AND 1, v0x1c21080_0, L_0x1c21ea0, C4<1>, C4<1>; -v0x1c20640_0 .net "A", 0 0, v0x1c20f30_0; 1 drivers -v0x1c20700_0 .net "AB", 0 0, L_0x1c21ea0; 1 drivers -v0x1c207a0_0 .net "AnB", 0 0, L_0x1c21de0; 1 drivers -v0x1c20840_0 .net "B", 0 0, v0x1c20fd0_0; 1 drivers -v0x1c208c0_0 .net "enable", 0 0, v0x1c21080_0; 1 drivers -v0x1c20960_0 .net "nA", 0 0, L_0x1c20c60; 1 drivers -v0x1c20a00_0 .net "nAB", 0 0, L_0x1c21e40; 1 drivers -v0x1c20aa0_0 .net "nAnB", 0 0, L_0x1c21ce0; 1 drivers -v0x1c20b40_0 .net "nB", 0 0, L_0x1c21c30; 1 drivers -v0x1c20be0_0 .alias "out0", 0 0, v0x1c21130_0; -v0x1c20ce0_0 .alias "out1", 0 0, v0x1c21210_0; -v0x1c20d80_0 .alias "out2", 0 0, v0x1c212c0_0; -v0x1c20e90_0 .alias "out3", 0 0, v0x1c21340_0; - .scope S_0x1bcc780; -T_0 ; - %vpi_call 3 14 "$display", "En A0 A1| O0 O1 O2 O3 | Expected Output"; - %set/v v0x1c21080_0, 0, 1; - %set/v v0x1c20f30_0, 0, 1; - %set/v v0x1c20fd0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 3 16 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %set/v v0x1c21080_0, 0, 1; - %set/v v0x1c20f30_0, 1, 1; - %set/v v0x1c20fd0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 3 18 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %set/v v0x1c21080_0, 0, 1; - %set/v v0x1c20f30_0, 0, 1; - %set/v v0x1c20fd0_0, 1, 1; - %delay 1000000, 0; - %vpi_call 3 20 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %set/v v0x1c21080_0, 0, 1; - %set/v v0x1c20f30_0, 1, 1; - %set/v v0x1c20fd0_0, 1, 1; - %delay 1000000, 0; - %vpi_call 3 22 "$display", "%b %b %b | %b %b %b %b | All false", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %set/v v0x1c21080_0, 1, 1; - %set/v v0x1c20f30_0, 0, 1; - %set/v v0x1c20fd0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 3 24 "$display", "%b %b %b | %b %b %b %b | O0 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %set/v v0x1c21080_0, 1, 1; - %set/v v0x1c20f30_0, 1, 1; - %set/v v0x1c20fd0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 3 26 "$display", "%b %b %b | %b %b %b %b | O1 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %set/v v0x1c21080_0, 1, 1; - %set/v v0x1c20f30_0, 0, 1; - %set/v v0x1c20fd0_0, 1, 1; - %delay 1000000, 0; - %vpi_call 3 28 "$display", "%b %b %b | %b %b %b %b | O2 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %set/v v0x1c21080_0, 1, 1; - %set/v v0x1c20f30_0, 1, 1; - %set/v v0x1c20fd0_0, 1, 1; - %delay 1000000, 0; - %vpi_call 3 30 "$display", "%b %b %b | %b %b %b %b | O3 Only", v0x1c21080_0, v0x1c20f30_0, v0x1c20fd0_0, v0x1c21130_0, v0x1c21210_0, v0x1c212c0_0, v0x1c21340_0; - %end; - .thread T_0; -# The file index is used to find the file name in the following table. -:file_names 4; - "N/A"; - ""; - "./decoder.v"; - "decoder.t.v"; From 386657f37025c442105e023ea9c688602ad9e35a Mon Sep 17 00:00:00 2001 From: David Papp Date: Wed, 20 Sep 2017 12:30:53 -0400 Subject: [PATCH 5/5] Delete multiplexer --- multiplexer | 106 ---------------------------------------------------- 1 file changed, 106 deletions(-) delete mode 100755 multiplexer diff --git a/multiplexer b/multiplexer deleted file mode 100755 index 000e9cc..0000000 --- a/multiplexer +++ /dev/null @@ -1,106 +0,0 @@ -#! /usr/bin/vvp -:ivl_version "0.9.7 " "(v0_9_7)"; -:vpi_time_precision - 12; -:vpi_module "system"; -:vpi_module "v2005_math"; -:vpi_module "va_math"; -S_0x22759a0 .scope module, "behavioralMultiplexer" "behavioralMultiplexer" 2 3; - .timescale -9 -12; -v0x2298670_0 .net "address", 1 0, L_0x22b4750; 1 drivers -v0x22b2ca0_0 .net "address0", 0 0, C4; 0 drivers -v0x22b2d40_0 .net "address1", 0 0, C4; 0 drivers -v0x22b2de0_0 .net "in0", 0 0, C4; 0 drivers -v0x22b2e90_0 .net "in1", 0 0, C4; 0 drivers -v0x22b2f30_0 .net "in2", 0 0, C4; 0 drivers -v0x22b3010_0 .net "in3", 0 0, C4; 0 drivers -v0x22b30b0_0 .net "inputs", 3 0, L_0x22b4590; 1 drivers -v0x22b31a0_0 .net "out", 0 0, L_0x22b4880; 1 drivers -L_0x22b4590 .concat [ 1 1 1 1], C4, C4, C4, C4; -L_0x22b4750 .concat [ 1 1 0 0], C4, C4; -L_0x22b4880 .part/v L_0x22b4590, L_0x22b4750, 1; -S_0x2275010 .scope module, "testMultiplexer" "testMultiplexer" 3 5; - .timescale -9 -12; -v0x22b4120_0 .var "addr0", 0 0; -v0x22b41a0_0 .var "addr1", 0 0; -v0x22b4220_0 .var "in0", 0 0; -v0x22b42d0_0 .var "in1", 0 0; -v0x22b43b0_0 .var "in2", 0 0; -v0x22b4460_0 .var "in3", 0 0; -v0x22b44e0_0 .net "out", 0 0, L_0x22b5200; 1 drivers -S_0x22b3240 .scope module, "mux" "structuralMultiplexer" 3 11, 2 16, S_0x2275010; - .timescale -9 -12; -L_0x22b39a0 .functor NOT 1, v0x22b4120_0, C4<0>, C4<0>, C4<0>; -L_0x22b49f0 .functor NOT 1, v0x22b41a0_0, C4<0>, C4<0>, C4<0>; -L_0x22b4aa0 .functor AND 1, L_0x22b39a0, L_0x22b49f0, C4<1>, C4<1>; -L_0x22b4ba0 .functor AND 1, v0x22b4120_0, L_0x22b49f0, C4<1>, C4<1>; -L_0x22b4c00 .functor AND 1, L_0x22b39a0, v0x22b41a0_0, C4<1>, C4<1>; -L_0x22b4c60 .functor AND 1, v0x22b4120_0, v0x22b41a0_0, C4<1>, C4<1>; -L_0x22b4e50 .functor AND 1, v0x22b4220_0, L_0x22b4aa0, C4<1>, C4<1>; -L_0x22b4eb0 .functor AND 1, v0x22b42d0_0, L_0x22b4ba0, C4<1>, C4<1>; -L_0x22b5000 .functor AND 1, v0x22b43b0_0, L_0x22b4c00, C4<1>, C4<1>; -L_0x22b5100 .functor AND 1, v0x22b4460_0, L_0x22b4c60, C4<1>, C4<1>; -L_0x22b5260 .functor OR 1, L_0x22b4e50, L_0x22b4eb0, C4<0>, C4<0>; -L_0x22b5310 .functor OR 1, L_0x22b5000, L_0x22b5100, C4<0>, C4<0>; -L_0x22b5200 .functor OR 1, L_0x22b5260, L_0x22b5310, C4<0>, C4<0>; -v0x22b3330_0 .net "A", 0 0, v0x22b4120_0; 1 drivers -v0x22b33f0_0 .net "AB", 0 0, L_0x22b4c60; 1 drivers -v0x22b3490_0 .net "AnB", 0 0, L_0x22b4ba0; 1 drivers -v0x22b3530_0 .net "B", 0 0, v0x22b41a0_0; 1 drivers -v0x22b35b0_0 .net "in0", 0 0, v0x22b4220_0; 1 drivers -v0x22b3650_0 .net "in1", 0 0, v0x22b42d0_0; 1 drivers -v0x22b36f0_0 .net "in2", 0 0, v0x22b43b0_0; 1 drivers -v0x22b3790_0 .net "in3", 0 0, v0x22b4460_0; 1 drivers -v0x22b3880_0 .net "nA", 0 0, L_0x22b39a0; 1 drivers -v0x22b3920_0 .net "nAB", 0 0, L_0x22b4c00; 1 drivers -v0x22b3a20_0 .net "nAnB", 0 0, L_0x22b4aa0; 1 drivers -v0x22b3ac0_0 .net "nB", 0 0, L_0x22b49f0; 1 drivers -v0x22b3bd0_0 .net "o0", 0 0, L_0x22b4e50; 1 drivers -v0x22b3c70_0 .net "o1", 0 0, L_0x22b4eb0; 1 drivers -v0x22b3d90_0 .net "o2", 0 0, L_0x22b5000; 1 drivers -v0x22b3e30_0 .net "o3", 0 0, L_0x22b5100; 1 drivers -v0x22b3cf0_0 .net "or1", 0 0, L_0x22b5260; 1 drivers -v0x22b3f80_0 .net "or2", 0 0, L_0x22b5310; 1 drivers -v0x22b40a0_0 .alias "out", 0 0, v0x22b44e0_0; - .scope S_0x2275010; -T_0 ; - %vpi_call 3 14 "$display", "A0 A1| in0 in1 in2 in3 | Out | Expected Output"; - %set/v v0x22b4220_0, 1, 1; - %set/v v0x22b42d0_0, 0, 1; - %set/v v0x22b43b0_0, 0, 1; - %set/v v0x22b4460_0, 0, 1; - %set/v v0x22b4120_0, 0, 1; - %set/v v0x22b41a0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 3 16 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; - %set/v v0x22b4220_0, 0, 1; - %set/v v0x22b42d0_0, 1, 1; - %set/v v0x22b43b0_0, 0, 1; - %set/v v0x22b4460_0, 0, 1; - %set/v v0x22b4120_0, 1, 1; - %set/v v0x22b41a0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 3 18 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; - %set/v v0x22b4220_0, 0, 1; - %set/v v0x22b42d0_0, 0, 1; - %set/v v0x22b43b0_0, 1, 1; - %set/v v0x22b4460_0, 0, 1; - %set/v v0x22b4120_0, 0, 1; - %set/v v0x22b41a0_0, 1, 1; - %delay 1000000, 0; - %vpi_call 3 20 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; - %set/v v0x22b4220_0, 0, 1; - %set/v v0x22b42d0_0, 0, 1; - %set/v v0x22b43b0_0, 0, 1; - %set/v v0x22b4460_0, 1, 1; - %set/v v0x22b4120_0, 1, 1; - %set/v v0x22b41a0_0, 1, 1; - %delay 1000000, 0; - %vpi_call 3 22 "$display", "%b %b | %b %b %b %b | %b | 1", v0x22b4120_0, v0x22b41a0_0, v0x22b4220_0, v0x22b42d0_0, v0x22b43b0_0, v0x22b4460_0, v0x22b44e0_0; - %end; - .thread T_0; -# The file index is used to find the file name in the following table. -:file_names 4; - "N/A"; - ""; - "./multiplexer.v"; - "multiplexer.t.v";