From 6fe6e18f659832c9d9f27e8bc92e0902d9d73b26 Mon Sep 17 00:00:00 2001 From: mjakus Date: Thu, 21 Sep 2017 20:03:12 -0400 Subject: [PATCH 1/2] Homework 2 --- adder | 153 ++++++++++++++++++++++++ adder.t.v | 72 +++++++++--- adder.v | 65 ++++++---- adder.vcd | 210 +++++++++++++++++++++++++++++++++ counter.vcd | 278 +++++++++++++++++++++++++++++++++++++++++++ decoder | 170 +++++++++++++++++++++++++++ decoder.t.v | 91 ++++++++------ decoder.v | 68 +++++++---- decoder.vcd | 272 ++++++++++++++++++++++++++++++++++++++++++ multiplexer | 187 +++++++++++++++++++++++++++++ multiplexer.t.v | 75 ++++++++++-- multiplexer.v | 87 ++++++++++---- multiplexer.vcd | 306 ++++++++++++++++++++++++++++++++++++++++++++++++ 13 files changed, 1910 insertions(+), 124 deletions(-) create mode 100755 adder create mode 100644 adder.vcd create mode 100644 counter.vcd create mode 100755 decoder create mode 100644 decoder.vcd create mode 100755 multiplexer create mode 100644 multiplexer.vcd diff --git a/adder b/adder new file mode 100755 index 0000000..105cee9 --- /dev/null +++ b/adder @@ -0,0 +1,153 @@ +#! /usr/bin/vvp +:ivl_version "0.9.7 " "(v0_9_7)"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0xa42730 .scope module, "testFullAdder" "testFullAdder" 2 5; + .timescale -9 -12; +v0xa9ee70_0 .net "Cout", 0 0, L_0xaa0040; 1 drivers +v0xa9ef20_0 .var "a", 0 0; +v0xa9efa0_0 .var "b", 0 0; +v0xa9f070_0 .var "carryin", 0 0; +v0xa9f140_0 .net "carryout", 0 0, L_0xa9f2c0; 1 drivers +v0xa9f1c0_0 .net "structsum", 0 0, L_0xa9fdd0; 1 drivers +v0xa9f240_0 .net "sum", 0 0, L_0xa9f3b0; 1 drivers +S_0xa9e480 .scope module, "adder" "behavioralFullAdder" 2 10, 3 10, S_0xa42730; + .timescale -9 -12; +v0xa9e570_0 .net *"_s10", 0 0, C4<0>; 1 drivers +v0xa9e630_0 .net *"_s11", 1 0, L_0xa9f7b0; 1 drivers +v0xa9e6d0_0 .net *"_s13", 1 0, L_0xa9f9c0; 1 drivers +v0xa9e770_0 .net *"_s16", 0 0, C4<0>; 1 drivers +v0xa9e7f0_0 .net *"_s17", 1 0, L_0xa9fb30; 1 drivers +v0xa9e890_0 .net *"_s3", 1 0, L_0xa9f4f0; 1 drivers +v0xa9e930_0 .net *"_s6", 0 0, C4<0>; 1 drivers +v0xa9e9d0_0 .net *"_s7", 1 0, L_0xa9f620; 1 drivers +v0xa9eac0_0 .net "a", 0 0, v0xa9ef20_0; 1 drivers +v0xa9eb40_0 .net "b", 0 0, v0xa9efa0_0; 1 drivers +v0xa9ec50_0 .net "carryin", 0 0, v0xa9f070_0; 1 drivers +v0xa9ed00_0 .alias "carryout", 0 0, v0xa9f140_0; +v0xa9edf0_0 .alias "sum", 0 0, v0xa9f240_0; +L_0xa9f2c0 .part L_0xa9fb30, 1, 1; +L_0xa9f3b0 .part L_0xa9fb30, 0, 1; +L_0xa9f4f0 .concat [ 1 1 0 0], v0xa9ef20_0, C4<0>; +L_0xa9f620 .concat [ 1 1 0 0], v0xa9efa0_0, C4<0>; +L_0xa9f7b0 .arith/sum 2, L_0xa9f4f0, L_0xa9f620; +L_0xa9f9c0 .concat [ 1 1 0 0], v0xa9f070_0, C4<0>; +L_0xa9fb30 .arith/sum 2, L_0xa9f7b0, L_0xa9f9c0; +S_0xa42820 .scope module, "structural" "structuralFullAdder" 2 12, 3 22, S_0xa42730; + .timescale -9 -12; +L_0xa9ebc0/d .functor XOR 1, v0xa9ef20_0, v0xa9efa0_0, C4<0>, C4<0>; +L_0xa9ebc0 .delay (50000,50000,50000) L_0xa9ebc0/d; +L_0xa9fdd0/d .functor XOR 1, L_0xa9ebc0, v0xa9f070_0, C4<0>, C4<0>; +L_0xa9fdd0 .delay (50000,50000,50000) L_0xa9fdd0/d; +L_0xa9ff00/d .functor AND 1, v0xa9ef20_0, v0xa9efa0_0, C4<1>, C4<1>; +L_0xa9ff00 .delay (50000,50000,50000) L_0xa9ff00/d; +L_0xa9ffa0/d .functor AND 1, v0xa9f070_0, L_0xa9ebc0, C4<1>, C4<1>; +L_0xa9ffa0 .delay (50000,50000,50000) L_0xa9ffa0/d; +L_0xaa0040/d .functor OR 1, L_0xa9ff00, L_0xa9ffa0, C4<0>, C4<0>; +L_0xaa0040 .delay (50000,50000,50000) L_0xaa0040/d; +v0xa71af0_0 .net "AandB", 0 0, L_0xa9ff00; 1 drivers +v0xa9df80_0 .net "AxorB", 0 0, L_0xa9ebc0; 1 drivers +v0xa9e020_0 .net "CAxorB", 0 0, L_0xa9ffa0; 1 drivers +v0xa9e0c0_0 .alias "Cout", 0 0, v0xa9ee70_0; +v0xa9e170_0 .alias "a", 0 0, v0xa9eac0_0; +v0xa9e210_0 .alias "b", 0 0, v0xa9eb40_0; +v0xa9e2f0_0 .alias "carryin", 0 0, v0xa9ec50_0; +v0xa9e390_0 .alias "structsum", 0 0, v0xa9f1c0_0; + .scope S_0xa42730; +T_0 ; + %vpi_call 2 16 "$dumpfile", "adder.vcd"; + %vpi_call 2 17 "$dumpvars"; + %vpi_call 2 19 "$display", "Behavioral Full Adder"; + %vpi_call 2 20 "$display", "A B CarryIn| Sum CarryOut | ExpectedSum ExpectedCarryOut"; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 22 "$display", "%b %b %b | %b %b | 0 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 24 "$display", "%b %b %b | %b %b | 1 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 26 "$display", "%b %b %b | %b %b | 1 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 28 "$display", "%b %b %b | %b %b | 0 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 30 "$display", "%b %b %b | %b %b | 1 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 32 "$display", "%b %b %b | %b %b | 0 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 34 "$display", "%b %b %b | %b %b | 0 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 36 "$display", "%b %b %b | %b %b | 1 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f240_0, v0xa9f140_0; + %vpi_call 2 38 "$display", "Structural Full Adder"; + %vpi_call 2 39 "$display", "A B CarryIn| Sum CarryOut | ExpectedSum ExpectedCarryOut"; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 41 "$display", "%b %b %b | %b %b | 0 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 43 "$display", "%b %b %b | %b %b | 1 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 45 "$display", "%b %b %b | %b %b | 1 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %set/v v0xa9ef20_0, 0, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 47 "$display", "%b %b %b | %b %b | 0 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 49 "$display", "%b %b %b | %b %b | 1 0", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 0, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 51 "$display", "%b %b %b | %b %b | 0 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 53 "$display", "%b %b %b | %b %b | 0 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %set/v v0xa9ef20_0, 1, 1; + %set/v v0xa9efa0_0, 1, 1; + %set/v v0xa9f070_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 55 "$display", "%b %b %b | %b %b | 1 1", v0xa9ef20_0, v0xa9efa0_0, v0xa9f070_0, v0xa9f1c0_0, v0xa9ee70_0; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "adder.t.v"; + "./adder.v"; diff --git a/adder.t.v b/adder.t.v index 76109ed..8c505a2 100644 --- a/adder.t.v +++ b/adder.t.v @@ -1,14 +1,58 @@ -// Adder testbench -`timescale 1 ns / 1 ps -`include "adder.v" - -module testFullAdder(); - reg a, b, carryin; - wire sum, carryout; - - behavioralFullAdder adder (sum, carryout, a, b, carryin); - - initial begin - // Your test code here - end -endmodule +// Adder testbench +`timescale 1 ns / 1 ps +`include "adder.v" + +module testFullAdder(); + reg a, b, carryin; + wire sum, carryout; + wire structsum, AxorB, AandB, CAxorB, Cout; + + behavioralFullAdder adder (sum, carryout, a, b, carryin); + + structuralFullAdder structural(a, b, carryin, structsum, Cout); + + initial begin + + $dumpfile("adder.vcd"); + $dumpvars(); + + $display("Behavioral Full Adder"); + $display("A B CarryIn| Sum CarryOut | ExpectedSum ExpectedCarryOut"); + a=0; b = 0; carryin = 0; #1000 + $display("%b %b %b | %b %b | 0 0", a, b, carryin, sum, carryout); + a=0; b = 0; carryin = 1; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0; b = 1; carryin = 0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0; b = 1; carryin = 1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1; b = 0; carryin = 0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1; b = 0; carryin = 1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1; b = 1; carryin = 0; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1; b = 1; carryin = 1; #1000 + $display("%b %b %b | %b %b | 1 1", a, b, carryin, sum, carryout); + + $display("Structural Full Adder"); + $display("A B CarryIn| Sum CarryOut | ExpectedSum ExpectedCarryOut"); + a=0; b = 0; carryin = 0; #1000 + $display("%b %b %b | %b %b | 0 0", a, b, carryin, structsum, Cout); + a=0; b = 0; carryin = 1; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, structsum, Cout); + a=0; b = 1; carryin = 0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, structsum, Cout); + a=0; b = 1; carryin = 1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, structsum, Cout); + a=1; b = 0; carryin = 0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, structsum, Cout); + a=1; b = 0; carryin = 1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, structsum, Cout); + a=1; b = 1; carryin = 0; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, structsum, Cout); + a=1; b = 1; carryin = 1; #1000 + $display("%b %b %b | %b %b | 1 1", a, b, carryin, structsum, Cout); + + end +endmodule diff --git a/adder.v b/adder.v index d21f7e4..8ebe411 100644 --- a/adder.v +++ b/adder.v @@ -1,24 +1,41 @@ -// Adder circuit - -module behavioralFullAdder -( - output sum, - output carryout, - input a, - input b, - input carryin -); - // Uses concatenation operator and built-in '+' - assign {carryout, sum}=a+b+carryin; -endmodule - -module structuralFullAdder -( - output sum, - output carryout, - input a, - input b, - input carryin -); - // Your adder code here -endmodule +// Adder circuit +// an adder is a type of snake! + +// Multiplexer circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define XOR xor #50 + +module behavioralFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + // Uses concatenation operator and built-in '+' + assign {carryout, sum}=a+b+carryin; +endmodule + +module structuralFullAdder +( + input a, + input b, + input carryin, + output structsum, Cout +); + + wire AxorB; + wire AandB; + wire CAxorB; + + `XOR xorgate1(AxorB, a, b); + `XOR xorgate2(structsum, AxorB, carryin); + + `AND andgate1(AandB, a, b); + `AND andgate2(CAxorB, carryin, AxorB); + `OR orgate1(Cout, AandB, CAxorB); + +endmodule diff --git a/adder.vcd b/adder.vcd new file mode 100644 index 0000000..cf81f85 --- /dev/null +++ b/adder.vcd @@ -0,0 +1,210 @@ +$date + Wed Sep 20 23:47:10 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testFullAdder $end +$var wire 1 ! Cout $end +$var wire 1 " carryout $end +$var wire 1 # structsum $end +$var wire 1 $ sum $end +$var reg 1 % a $end +$var reg 1 & b $end +$var reg 1 ' carryin $end +$scope module adder $end +$var wire 1 ( a $end +$var wire 1 ) b $end +$var wire 1 * carryin $end +$var wire 1 " carryout $end +$var wire 1 $ sum $end +$upscope $end +$scope module structural $end +$var wire 1 + AandB $end +$var wire 1 , AxorB $end +$var wire 1 - CAxorB $end +$var wire 1 ! Cout $end +$var wire 1 ( a $end +$var wire 1 ) b $end +$var wire 1 * carryin $end +$var wire 1 # structsum $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +z- +z, +z+ +0* +0) +0( +0' +0& +0% +0$ +x# +0" +x! +$end +#50000 +0- +0+ +0, +#100000 +0! +0# +#1000000 +1$ +1' +1* +#1050000 +1# +#2000000 +0' +0* +1& +1) +#2050000 +0# +1, +#2100000 +1# +#3000000 +0$ +1" +1' +1* +#3050000 +0# +1- +#3100000 +1! +#4000000 +0" +1$ +0' +0* +0& +0) +1% +1( +#4050000 +1# +0- +#4100000 +0! +#5000000 +0$ +1" +1' +1* +#5050000 +0# +1- +#5100000 +1! +#6000000 +0' +0* +1& +1) +#6050000 +1# +0- +0, +1+ +#6100000 +0# +#7000000 +1$ +1' +1* +#7050000 +1# +#8000000 +0" +0$ +0' +0* +0& +0) +0% +0( +#8050000 +0# +0+ +#8100000 +0! +#9000000 +1$ +1' +1* +#9050000 +1# +#10000000 +0' +0* +1& +1) +#10050000 +0# +1, +#10100000 +1# +#11000000 +0$ +1" +1' +1* +#11050000 +0# +1- +#11100000 +1! +#12000000 +0" +1$ +0' +0* +0& +0) +1% +1( +#12050000 +1# +0- +#12100000 +0! +#13000000 +0$ +1" +1' +1* +#13050000 +0# +1- +#13100000 +1! +#14000000 +0' +0* +1& +1) +#14050000 +1# +0- +0, +1+ +#14100000 +0# +#15000000 +1$ +1' +1* +#15050000 +1# +#16000000 diff --git a/counter.vcd b/counter.vcd new file mode 100644 index 0000000..9ae901e --- /dev/null +++ b/counter.vcd @@ -0,0 +1,278 @@ +$date + Tue Sep 19 19:55:12 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testDecoder $end +$var wire 1 ! A0A1 $end +$var wire 1 " A0nA1 $end +$var wire 1 # Sout0 $end +$var wire 1 $ Sout1 $end +$var wire 1 % Sout2 $end +$var wire 1 & Sout3 $end +$var wire 1 ' nA0A1 $end +$var wire 1 ( nA0nA1 $end +$var wire 1 ) naddress0 $end +$var wire 1 * naddress1 $end +$var wire 1 + out0 $end +$var wire 1 , out1 $end +$var wire 1 - out2 $end +$var wire 1 . out3 $end +$var reg 1 / addr0 $end +$var reg 1 0 addr1 $end +$var reg 1 1 enable $end +$scope module decoder $end +$var wire 1 2 address0 $end +$var wire 1 3 address1 $end +$var wire 1 4 enable $end +$var wire 1 + out0 $end +$var wire 1 , out1 $end +$var wire 1 - out2 $end +$var wire 1 . out3 $end +$upscope $end +$scope module structuraltest $end +$var wire 1 ! A0A1 $end +$var wire 1 " A0nA1 $end +$var wire 1 # Sout0 $end +$var wire 1 $ Sout1 $end +$var wire 1 % Sout2 $end +$var wire 1 & Sout3 $end +$var wire 1 2 address0 $end +$var wire 1 3 address1 $end +$var wire 1 4 enable $end +$var wire 1 ' nA0A1 $end +$var wire 1 ( nA0nA1 $end +$var wire 1 ) naddress0 $end +$var wire 1 * naddress1 $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +04 +03 +02 +01 +00 +0/ +0. +0- +0, +0+ +z* +z) +x( +z' +z& +z% +z$ +z# +z" +z! +$end +#50000 +0& +0! +0% +0' +0$ +0" +0# +1) +1* +#100000 +1( +#1000000 +1/ +12 +#1050000 +0) +1" +#1100000 +0( +#2000000 +10 +13 +0/ +02 +#2050000 +0* +1) +0" +#2100000 +1' +#3000000 +1/ +12 +#3050000 +0) +1! +#3100000 +0' +#4000000 +1+ +00 +03 +0/ +02 +11 +14 +#4050000 +1* +1) +0! +1& +#4100000 +1( +0& +#4150000 +1# +#5000000 +0+ +1, +1/ +12 +#5050000 +0) +1" +#5100000 +0( +1$ +#5150000 +0# +#6000000 +1- +0, +10 +13 +0/ +02 +#6050000 +0* +1) +0" +#6100000 +1' +0$ +#6150000 +1% +#7000000 +0- +1. +1/ +12 +#7050000 +0) +1! +#7100000 +0' +1& +#7150000 +0% +#8000000 +0. +00 +03 +0/ +02 +01 +04 +#8050000 +1* +1) +0! +0& +#8100000 +1( +#9000000 +1/ +12 +#9050000 +0) +1" +#9100000 +0( +#10000000 +10 +13 +0/ +02 +#10050000 +0* +1) +0" +#10100000 +1' +#11000000 +1/ +12 +#11050000 +0) +1! +#11100000 +0' +#12000000 +1+ +00 +03 +0/ +02 +11 +14 +#12050000 +1* +1) +0! +1& +#12100000 +1( +0& +#12150000 +1# +#13000000 +0+ +1, +1/ +12 +#13050000 +0) +1" +#13100000 +0( +1$ +#13150000 +0# +#14000000 +1- +0, +10 +13 +0/ +02 +#14050000 +0* +1) +0" +#14100000 +1' +0$ +#14150000 +1% +#15000000 +0- +1. +1/ +12 +#15050000 +0) +1! +#15100000 +0' +1& +#15150000 +0% +#16000000 diff --git a/decoder b/decoder new file mode 100755 index 0000000..25ba7d5 --- /dev/null +++ b/decoder @@ -0,0 +1,170 @@ +#! /usr/bin/vvp +:ivl_version "0.9.7 " "(v0_9_7)"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x2378690 .scope module, "testDecoder" "testDecoder" 2 5; + .timescale -9 -12; +v0x23da500_0 .net "Sout0", 0 0, L_0x23db740; 1 drivers +v0x23da5d0_0 .net "Sout1", 0 0, L_0x23db960; 1 drivers +v0x23da680_0 .net "Sout2", 0 0, L_0x23dbb80; 1 drivers +v0x23da730_0 .net "Sout3", 0 0, L_0x23dbdb0; 1 drivers +v0x23da810_0 .var "addr0", 0 0; +v0x23da890_0 .var "addr1", 0 0; +v0x23da960_0 .var "enable", 0 0; +v0x23daa30_0 .net "out0", 0 0, L_0x23dafb0; 1 drivers +v0x23dab00_0 .net "out1", 0 0, L_0x23daec0; 1 drivers +v0x23dab80_0 .net "out2", 0 0, L_0x23dad80; 1 drivers +v0x23dac60_0 .net "out3", 0 0, L_0x23dace0; 1 drivers +S_0x23d9d90 .scope module, "decoder" "behavioralDecoder" 2 12, 3 7, S_0x2378690; + .timescale -9 -12; +v0x23d9e80_0 .net *"_s11", 3 0, L_0x23db2b0; 1 drivers +v0x23d9f40_0 .net *"_s5", 3 0, L_0x23db130; 1 drivers +v0x23d9fe0_0 .net *"_s8", 2 0, C4<000>; 1 drivers +v0x23da080_0 .net *"_s9", 1 0, L_0x23db1d0; 1 drivers +v0x23da100_0 .net "address0", 0 0, v0x23da810_0; 1 drivers +v0x23da1b0_0 .net "address1", 0 0, v0x23da890_0; 1 drivers +v0x23da230_0 .net "enable", 0 0, v0x23da960_0; 1 drivers +v0x23da2e0_0 .alias "out0", 0 0, v0x23daa30_0; +v0x23da360_0 .alias "out1", 0 0, v0x23dab00_0; +v0x23da3e0_0 .alias "out2", 0 0, v0x23dab80_0; +v0x23da460_0 .alias "out3", 0 0, v0x23dac60_0; +L_0x23dace0 .part L_0x23db2b0, 3, 1; +L_0x23dad80 .part L_0x23db2b0, 2, 1; +L_0x23daec0 .part L_0x23db2b0, 1, 1; +L_0x23dafb0 .part L_0x23db2b0, 0, 1; +L_0x23db130 .concat [ 1 3 0 0], v0x23da960_0, C4<000>; +L_0x23db1d0 .concat [ 1 1 0 0], v0x23da810_0, v0x23da890_0; +L_0x23db2b0 .shift/l 4, L_0x23db130, L_0x23db1d0; +S_0x2378780 .scope module, "structuraltest" "structuralDecoder" 2 13, 3 18, S_0x2378690; + .timescale -9 -12; +L_0x23db3f0/d .functor NOT 1, v0x23da810_0, C4<0>, C4<0>, C4<0>; +L_0x23db3f0 .delay (50000,50000,50000) L_0x23db3f0/d; +L_0x23db520/d .functor NOT 1, v0x23da890_0, C4<0>, C4<0>, C4<0>; +L_0x23db520 .delay (50000,50000,50000) L_0x23db520/d; +L_0x23db650/d .functor AND 1, L_0x23db3f0, L_0x23db520, C4<1>, C4<1>; +L_0x23db650 .delay (50000,50000,50000) L_0x23db650/d; +L_0x23db740/d .functor AND 1, v0x23da960_0, L_0x23db650, C4<1>, C4<1>; +L_0x23db740 .delay (50000,50000,50000) L_0x23db740/d; +L_0x23db8c0/d .functor AND 1, v0x23da810_0, L_0x23db520, C4<1>, C4<1>; +L_0x23db8c0 .delay (50000,50000,50000) L_0x23db8c0/d; +L_0x23db960/d .functor AND 1, v0x23da960_0, L_0x23db8c0, C4<1>, C4<1>; +L_0x23db960 .delay (50000,50000,50000) L_0x23db960/d; +L_0x23dbae0/d .functor AND 1, L_0x23db3f0, v0x23da890_0, C4<1>, C4<1>; +L_0x23dbae0 .delay (50000,50000,50000) L_0x23dbae0/d; +L_0x23dbb80/d .functor AND 1, v0x23da960_0, L_0x23dbae0, C4<1>, C4<1>; +L_0x23dbb80 .delay (50000,50000,50000) L_0x23dbb80/d; +L_0x23dbce0/d .functor AND 1, v0x23da810_0, v0x23da890_0, C4<1>, C4<1>; +L_0x23dbce0 .delay (50000,50000,50000) L_0x23dbce0/d; +L_0x23dbdb0/d .functor AND 1, v0x23da960_0, L_0x23dbce0, C4<1>, C4<1>; +L_0x23dbdb0 .delay (50000,50000,50000) L_0x23dbdb0/d; +v0x23aeec0_0 .net "A0A1", 0 0, L_0x23dbce0; 1 drivers +v0x23d94a0_0 .net "A0nA1", 0 0, L_0x23db8c0; 1 drivers +v0x23d9540_0 .alias "Sout0", 0 0, v0x23da500_0; +v0x23d95e0_0 .alias "Sout1", 0 0, v0x23da5d0_0; +v0x23d9690_0 .alias "Sout2", 0 0, v0x23da680_0; +v0x23d9730_0 .alias "Sout3", 0 0, v0x23da730_0; +v0x23d9810_0 .alias "address0", 0 0, v0x23da100_0; +v0x23d98b0_0 .alias "address1", 0 0, v0x23da1b0_0; +v0x23d99a0_0 .alias "enable", 0 0, v0x23da230_0; +v0x23d9a40_0 .net "nA0A1", 0 0, L_0x23dbae0; 1 drivers +v0x23d9b40_0 .net "nA0nA1", 0 0, L_0x23db650; 1 drivers +v0x23d9be0_0 .net "naddress0", 0 0, L_0x23db3f0; 1 drivers +v0x23d9cf0_0 .net "naddress1", 0 0, L_0x23db520; 1 drivers + .scope S_0x2378690; +T_0 ; + %vpi_call 2 17 "$dumpfile", "decoder.vcd"; + %vpi_call 2 18 "$dumpvars"; + %vpi_call 2 20 "$display", "Behavioral Decoder"; + %vpi_call 2 21 "$display", "En A0 A1| O0 O1 O2 O3 | Expected Output"; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 23 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 25 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 27 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 29 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 31 "$display", "%b %b %b | %b %b %b %b | O0 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 33 "$display", "%b %b %b | %b %b %b %b | O1 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 35 "$display", "%b %b %b | %b %b %b %b | O2 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 37 "$display", "%b %b %b | %b %b %b %b | O3 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23daa30_0, v0x23dab00_0, v0x23dab80_0, v0x23dac60_0; + %vpi_call 2 39 "$display", "Structural Decoder"; + %vpi_call 2 40 "$display", "En A0 A1| O0 O1 O2 O3 | Expected Output"; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 42 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 44 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 46 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %set/v v0x23da960_0, 0, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 48 "$display", "%b %b %b | %b %b %b %b | All false", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 50 "$display", "%b %b %b | %b %b %b %b | O0 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 52 "$display", "%b %b %b | %b %b %b %b | O1 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 0, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 54 "$display", "%b %b %b | %b %b %b %b | O2 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %set/v v0x23da960_0, 1, 1; + %set/v v0x23da810_0, 1, 1; + %set/v v0x23da890_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 56 "$display", "%b %b %b | %b %b %b %b | O3 Only", v0x23da960_0, v0x23da810_0, v0x23da890_0, v0x23da500_0, v0x23da5d0_0, v0x23da680_0, v0x23da730_0; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "decoder.t.v"; + "./decoder.v"; diff --git a/decoder.t.v b/decoder.t.v index e0e925f..9a579e6 100644 --- a/decoder.t.v +++ b/decoder.t.v @@ -1,33 +1,58 @@ -// Decoder testbench -`timescale 1 ns / 1 ps -`include "decoder.v" - -module testDecoder (); - reg addr0, addr1; - reg enable; - wire out0,out1,out2,out3; - - behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); - //structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing - - initial begin - $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); - enable=0;addr0=0;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=1;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=0;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=1;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=0;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | O0 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=1;addr1=0; #1000 - $display("%b %b %b | %b %b %b %b | O1 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=0;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | O2 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=1;addr1=1; #1000 - $display("%b %b %b | %b %b %b %b | O3 Only", enable, addr0, addr1, out0, out1, out2, out3); - end - -endmodule +// Decoder testbench +`timescale 1 ns / 1 ps +`include "decoder.v" + +module testDecoder (); + wire out0,out1,out2,out3; + wire Sout0, Sout1, Sout2, Sout3; + reg addr0, addr1; + reg enable; + + + behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); + structuralDecoder structuraltest(Sout0,Sout1,Sout2,Sout3,addr0,addr1,enable); + + initial begin + + $dumpfile("decoder.vcd"); + $dumpvars(); + + $display("Behavioral Decoder"); + $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); + enable=0;addr0=0;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=0;addr0=1;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=0;addr0=0;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=0;addr0=1;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=0;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | O0 Only", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=1;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | O1 Only", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=0;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | O2 Only", enable, addr0, addr1, out0, out1, out2, out3); + enable=1;addr0=1;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | O3 Only", enable, addr0, addr1, out0, out1, out2, out3); + + $display("Structural Decoder"); + $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); + enable=0;addr0=0;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + enable=0;addr0=1;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + enable=0;addr0=0;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + enable=0;addr0=1;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + enable=1;addr0=0;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | O0 Only", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + enable=1;addr0=1;addr1=0; #1000 + $display("%b %b %b | %b %b %b %b | O1 Only", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + enable=1;addr0=0;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | O2 Only", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + enable=1;addr0=1;addr1=1; #1000 + $display("%b %b %b | %b %b %b %b | O3 Only", enable, addr0, addr1, Sout0, Sout1, Sout2, Sout3); + end +endmodule diff --git a/decoder.v b/decoder.v index 17836e0..17676fc 100644 --- a/decoder.v +++ b/decoder.v @@ -1,22 +1,46 @@ -// Decoder circuit - -module behavioralDecoder -( - output out0, out1, out2, out3, - input address0, address1, - input enable -); - // Uses concatenation and shift operators - assign {out3,out2,out1,out0}=enable<<{address1,address0}; -endmodule - - -module structuralDecoder -( - output out0, out1, out2, out3, - input address0, address1, - input enable -); - // Your decoder code here -endmodule - +// Decoder circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define XOR xor #50 + +module behavioralDecoder +( + output out0, out1, out2, out3, + input address0, address1, + input enable +); + // Uses concatenation and shift operators + assign {out3,out2,out1,out0}=enable<<{address1,address0}; +endmodule + + +module structuralDecoder +( + output Sout0, Sout1, Sout2, Sout3, + input address0, address1, + input enable +); + // Your decoder code here + wire naddress0; + wire naddress1; + wire nA0nA1; + wire A0nA1; + wire nA0A1; + wire A0A1; + + `NOT A0inv(naddress0, address0); + `NOT A1inv(naddress1, address1); + `AND andgate1(nA0nA1, naddress0, naddress1); + `AND andgate2(Sout0, enable, nA0nA1); + + `AND andgate3(A0nA1, address0, naddress1); + `AND andgate4(Sout1, enable, A0nA1); + + `AND andgate5(nA0A1, naddress0, address1); + `AND andgate6(Sout2, enable, nA0A1); + + `AND andgate7(A0A1, address0, address1); + `AND andgate8(Sout3, enable, A0A1); + +endmodule diff --git a/decoder.vcd b/decoder.vcd new file mode 100644 index 0000000..2f38a2a --- /dev/null +++ b/decoder.vcd @@ -0,0 +1,272 @@ +$date + Thu Sep 21 19:55:29 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testDecoder $end +$var wire 1 ! Sout0 $end +$var wire 1 " Sout1 $end +$var wire 1 # Sout2 $end +$var wire 1 $ Sout3 $end +$var wire 1 % out0 $end +$var wire 1 & out1 $end +$var wire 1 ' out2 $end +$var wire 1 ( out3 $end +$var reg 1 ) addr0 $end +$var reg 1 * addr1 $end +$var reg 1 + enable $end +$scope module decoder $end +$var wire 1 , address0 $end +$var wire 1 - address1 $end +$var wire 1 . enable $end +$var wire 1 % out0 $end +$var wire 1 & out1 $end +$var wire 1 ' out2 $end +$var wire 1 ( out3 $end +$upscope $end +$scope module structuraltest $end +$var wire 1 / A0A1 $end +$var wire 1 0 A0nA1 $end +$var wire 1 ! Sout0 $end +$var wire 1 " Sout1 $end +$var wire 1 # Sout2 $end +$var wire 1 $ Sout3 $end +$var wire 1 , address0 $end +$var wire 1 - address1 $end +$var wire 1 . enable $end +$var wire 1 1 nA0A1 $end +$var wire 1 2 nA0nA1 $end +$var wire 1 3 naddress0 $end +$var wire 1 4 naddress1 $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +z4 +z3 +x2 +z1 +z0 +z/ +0. +0- +0, +0+ +0* +0) +0( +0' +0& +0% +z$ +z# +z" +z! +$end +#50000 +0$ +0/ +0# +01 +0" +00 +0! +13 +14 +#100000 +12 +#1000000 +1) +1, +#1050000 +03 +10 +#1100000 +02 +#2000000 +1* +1- +0) +0, +#2050000 +04 +13 +00 +#2100000 +11 +#3000000 +1) +1, +#3050000 +03 +1/ +#3100000 +01 +#4000000 +1% +0* +0- +0) +0, +1+ +1. +#4050000 +14 +13 +0/ +1$ +#4100000 +12 +0$ +#4150000 +1! +#5000000 +0% +1& +1) +1, +#5050000 +03 +10 +#5100000 +02 +1" +#5150000 +0! +#6000000 +1' +0& +1* +1- +0) +0, +#6050000 +04 +13 +00 +#6100000 +11 +0" +#6150000 +1# +#7000000 +0' +1( +1) +1, +#7050000 +03 +1/ +#7100000 +01 +1$ +#7150000 +0# +#8000000 +0( +0* +0- +0) +0, +0+ +0. +#8050000 +14 +13 +0/ +0$ +#8100000 +12 +#9000000 +1) +1, +#9050000 +03 +10 +#9100000 +02 +#10000000 +1* +1- +0) +0, +#10050000 +04 +13 +00 +#10100000 +11 +#11000000 +1) +1, +#11050000 +03 +1/ +#11100000 +01 +#12000000 +1% +0* +0- +0) +0, +1+ +1. +#12050000 +14 +13 +0/ +1$ +#12100000 +12 +0$ +#12150000 +1! +#13000000 +0% +1& +1) +1, +#13050000 +03 +10 +#13100000 +02 +1" +#13150000 +0! +#14000000 +1' +0& +1* +1- +0) +0, +#14050000 +04 +13 +00 +#14100000 +11 +0" +#14150000 +1# +#15000000 +0' +1( +1) +1, +#15050000 +03 +1/ +#15100000 +01 +1$ +#15150000 +0# +#16000000 diff --git a/multiplexer b/multiplexer new file mode 100755 index 0000000..c640752 --- /dev/null +++ b/multiplexer @@ -0,0 +1,187 @@ +#! /usr/bin/vvp +:ivl_version "0.9.7 " "(v0_9_7)"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x1bf4970 .scope module, "testMultiplexer" "testMultiplexer" 2 5; + .timescale -9 -12; +v0x1c26240_0 .net "address", 1 0, L_0x1c26970; 1 drivers +v0x1c262c0_0 .var "address0", 0 0; +v0x1c26390_0 .var "address1", 0 0; +v0x1c26460_0 .var "in0", 0 0; +v0x1c26530_0 .var "in1", 0 0; +v0x1c26600_0 .var "in2", 0 0; +v0x1c266d0_0 .var "in3", 0 0; +v0x1c267a0_0 .net "inputs", 3 0, L_0x1c26a10; 1 drivers +v0x1c26870_0 .net "out", 0 0, L_0x1c26fb0; 1 drivers +v0x1c268f0_0 .net "structuralOut", 0 0, L_0x1c278f0; 1 drivers +L_0x1c26970 .concat [ 1 1 0 0], v0x1c262c0_0, v0x1c26390_0; +L_0x1c26a10 .concat [ 1 1 1 1], v0x1c26460_0, v0x1c26530_0, v0x1c26600_0, v0x1c266d0_0; +S_0x1c25ba0 .scope module, "multiplexer" "behavioralMultiplexer" 2 14, 3 6, S_0x1bf4970; + .timescale -9 -12; +v0x1c25c90_0 .net "address", 1 0, L_0x1c26dc0; 1 drivers +v0x1c25d50_0 .net "address0", 0 0, v0x1c262c0_0; 1 drivers +v0x1c25dd0_0 .net "address1", 0 0, v0x1c26390_0; 1 drivers +v0x1c25e80_0 .net "in0", 0 0, v0x1c26460_0; 1 drivers +v0x1c25f60_0 .net "in1", 0 0, v0x1c26530_0; 1 drivers +v0x1c26010_0 .net "in2", 0 0, v0x1c26600_0; 1 drivers +v0x1c26090_0 .net "in3", 0 0, v0x1c266d0_0; 1 drivers +v0x1c26140_0 .net "inputs", 3 0, L_0x1c26ab0; 1 drivers +v0x1c261c0_0 .alias "out", 0 0, v0x1c26870_0; +L_0x1c26ab0 .concat [ 1 1 1 1], v0x1c26460_0, v0x1c26530_0, v0x1c26600_0, v0x1c266d0_0; +L_0x1c26dc0 .concat [ 1 1 0 0], v0x1c262c0_0, v0x1c26390_0; +L_0x1c26fb0 .part/v L_0x1c26ab0, L_0x1c26dc0, 1; +S_0x1bd43e0 .scope module, "structural" "structuralMultiplexer" 2 27, 3 19, S_0x1bf4970; + .timescale -9 -12; +L_0x1c27050/d .functor NOT 1, v0x1c262c0_0, C4<0>, C4<0>, C4<0>; +L_0x1c27050 .delay (50000,50000,50000) L_0x1c27050/d; +L_0x1c270b0/d .functor AND 1, v0x1c26460_0, L_0x1c27050, C4<1>, C4<1>; +L_0x1c270b0 .delay (50000,50000,50000) L_0x1c270b0/d; +L_0x1c27150/d .functor NOT 1, v0x1c26390_0, C4<0>, C4<0>, C4<0>; +L_0x1c27150 .delay (50000,50000,50000) L_0x1c27150/d; +L_0x1c271f0/d .functor AND 1, L_0x1c27150, L_0x1c270b0, C4<1>, C4<1>; +L_0x1c271f0 .delay (50000,50000,50000) L_0x1c271f0/d; +L_0x1c27290/d .functor AND 1, v0x1c26530_0, v0x1c262c0_0, C4<1>, C4<1>; +L_0x1c27290 .delay (50000,50000,50000) L_0x1c27290/d; +L_0x1c27330/d .functor AND 1, L_0x1c27290, L_0x1c27150, C4<1>, C4<1>; +L_0x1c27330 .delay (50000,50000,50000) L_0x1c27330/d; +L_0x1c274b0/d .functor AND 1, v0x1c26600_0, L_0x1c27050, C4<1>, C4<1>; +L_0x1c274b0 .delay (50000,50000,50000) L_0x1c274b0/d; +L_0x1c27570/d .functor AND 1, L_0x1c274b0, v0x1c26390_0, C4<1>, C4<1>; +L_0x1c27570 .delay (50000,50000,50000) L_0x1c27570/d; +L_0x1c27680/d .functor AND 1, v0x1c266d0_0, v0x1c262c0_0, C4<1>, C4<1>; +L_0x1c27680 .delay (50000,50000,50000) L_0x1c27680/d; +L_0x1c27750/d .functor AND 1, L_0x1c27680, v0x1c26390_0, C4<1>, C4<1>; +L_0x1c27750 .delay (50000,50000,50000) L_0x1c27750/d; +L_0x1c278f0/d .functor OR 1, L_0x1c271f0, L_0x1c27330, L_0x1c27570, L_0x1c27750; +L_0x1c278f0 .delay (50000,50000,50000) L_0x1c278f0/d; +v0x1bf9670_0 .net "I0A0", 0 0, L_0x1c270b0; 1 drivers +v0x1c24fa0_0 .net "I0A1", 0 0, L_0x1c271f0; 1 drivers +v0x1c25040_0 .net "I1A0", 0 0, L_0x1c27290; 1 drivers +v0x1c250e0_0 .net "I1A1", 0 0, L_0x1c27330; 1 drivers +v0x1c25190_0 .net "I2A0", 0 0, L_0x1c274b0; 1 drivers +v0x1c25230_0 .net "I2A1", 0 0, L_0x1c27570; 1 drivers +v0x1c25310_0 .net "I3A0", 0 0, L_0x1c27680; 1 drivers +v0x1c253b0_0 .net "I3A1", 0 0, L_0x1c27750; 1 drivers +v0x1c254a0_0 .alias "address0", 0 0, v0x1c25d50_0; +v0x1c25540_0 .alias "address1", 0 0, v0x1c25dd0_0; +v0x1c25640_0 .alias "in0", 0 0, v0x1c25e80_0; +v0x1c256e0_0 .alias "in1", 0 0, v0x1c25f60_0; +v0x1c257f0_0 .alias "in2", 0 0, v0x1c26010_0; +v0x1c25890_0 .alias "in3", 0 0, v0x1c26090_0; +v0x1c259b0_0 .net "naddress0", 0 0, L_0x1c27050; 1 drivers +v0x1c25a50_0 .net "naddress1", 0 0, L_0x1c27150; 1 drivers +v0x1c25910_0 .alias "structuralOut", 0 0, v0x1c268f0_0; + .scope S_0x1bf4970; +T_0 ; + %vpi_call 2 31 "$dumpfile", "multiplexer.vcd"; + %vpi_call 2 32 "$dumpvars"; + %vpi_call 2 34 "$display", "Behavioral Multiplexer"; + %vpi_call 2 35 "$display", "A0 A1| I3 I2 I1 I0 | Output | Expected Output"; + %set/v v0x1c262c0_0, 0, 1; + %set/v v0x1c26390_0, 0, 1; + %set/v v0x1c26460_0, 1, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 37 "$display", "%b %b | %b %b %b %b | %b | I0", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; + %set/v v0x1c262c0_0, 1, 1; + %set/v v0x1c26390_0, 0, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 1, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 39 "$display", "%b %b | %b %b %b %b | %b | I1", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; + %set/v v0x1c262c0_0, 0, 1; + %set/v v0x1c26390_0, 1, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 1, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 41 "$display", "%b %b | %b %b %b %b | %b | I2", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; + %set/v v0x1c262c0_0, 1, 1; + %set/v v0x1c26390_0, 1, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 43 "$display", "%b %b | %b %b %b %b | %b | I3", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; + %vpi_call 2 45 "$display", "Structural Multiplexer"; + %vpi_call 2 46 "$display", "A0 A1| I3 I2 I1 I0 | Output | Expected Output"; + %set/v v0x1c262c0_0, 0, 1; + %set/v v0x1c26390_0, 0, 1; + %set/v v0x1c26460_0, 1, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 48 "$display", "%b %b | %b %b %b %b | %b | I0", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %set/v v0x1c262c0_0, 0, 1; + %set/v v0x1c26390_0, 0, 1; + %set/v v0x1c26460_0, 2, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 50 "$display", "%b %b | %b %b %b %b | %b | I0", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %set/v v0x1c262c0_0, 1, 1; + %set/v v0x1c26390_0, 0, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 1, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 53 "$display", "%b %b | %b %b %b %b | %b | I1", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %set/v v0x1c262c0_0, 1, 1; + %set/v v0x1c26390_0, 0, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 2, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 55 "$display", "%b %b | %b %b %b %b | %b | I1", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %set/v v0x1c262c0_0, 0, 1; + %set/v v0x1c26390_0, 1, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 1, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 58 "$display", "%b %b | %b %b %b %b | %b | I2", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %set/v v0x1c262c0_0, 0, 1; + %set/v v0x1c26390_0, 1, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 2, 1; + %set/v v0x1c266d0_0, 0, 1; + %delay 1000000, 0; + %vpi_call 2 60 "$display", "%b %b | %b %b %b %b | %b | I2", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %set/v v0x1c262c0_0, 1, 1; + %set/v v0x1c26390_0, 1, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 1, 1; + %delay 1000000, 0; + %vpi_call 2 63 "$display", "%b %b | %b %b %b %b | %b | I3", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %set/v v0x1c262c0_0, 1, 1; + %set/v v0x1c26390_0, 1, 1; + %set/v v0x1c26460_0, 0, 1; + %set/v v0x1c26530_0, 0, 1; + %set/v v0x1c26600_0, 0, 1; + %set/v v0x1c266d0_0, 2, 1; + %delay 1000000, 0; + %vpi_call 2 65 "$display", "%b %b | %b %b %b %b | %b | I3", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "multiplexer.t.v"; + "./multiplexer.v"; diff --git a/multiplexer.t.v b/multiplexer.t.v index fd475c4..8badd1b 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -1,7 +1,68 @@ -// Multiplexer testbench -`timescale 1 ns / 1 ps -`include "multiplexer.v" - -module testMultiplexer (); - // Your test code here -endmodule +// Multiplexer testbench +`timescale 1 ns / 1 ps +`include "multiplexer.v" + +module testMultiplexer (); + // Your test code here + + reg in0, in1, in2, in3; + reg address0, address1; + wire out; + wire[1:0] address = {address1, address0}; + wire[3:0] inputs = {in3, in2, in1, in0}; + + behavioralMultiplexer multiplexer(out, address0, address1, in0, in1, in2, in3); + + wire naddress0; + wire I0A0; + wire naddress1; + wire I0A1; + wire I1A0; + wire I1A1; + wire I2A0; + wire I2A1; + wire I3A0; + wire I3A1; + + structuralMultiplexer structural(address0, address1, in0, in1, in2, in3, structuralOut); + + initial begin + + $dumpfile("multiplexer.vcd"); + $dumpvars(); + + $display("Behavioral Multiplexer"); + $display("A0 A1| I3 I2 I1 I0 | Output | Expected Output"); + address0=0; address1 = 0; in0=1; in1 = 0; in2 = 0; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I0", address1, address0, in3, in2, in1, in0, out); + address0=1; address1 = 0; in0=0; in1 = 1; in2 = 0; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I1", address1, address0, in3, in2, in1, in0, out); + address0=0; address1 = 1; in0=0; in1 = 0; in2 = 1; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I2", address1, address0, in3, in2, in1, in0, out); + address0=1; address1 = 1; in0=0; in1 = 0; in2 = 0; in3 = 1; #1000 + $display("%b %b | %b %b %b %b | %b | I3", address1, address0, in3, in2, in1, in0, out); + + $display("Structural Multiplexer"); + $display("A0 A1| I3 I2 I1 I0 | Output | Expected Output"); + address0=0; address1 = 0; in0=1; in1 = 0; in2 = 0; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I0", address1, address0, in3, in2, in1, in0, structuralOut); + address0=0; address1 = 0; in0=1'bX; in1 = 0; in2 = 0; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I0", address1, address0, in3, in2, in1, in0, structuralOut); + + address0=1; address1 = 0; in0=0; in1 = 1; in2 = 0; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I1", address1, address0, in3, in2, in1, in0, structuralOut); + address0=1; address1 = 0; in0=0; in1 = 1'bX; in2 = 0; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I1", address1, address0, in3, in2, in1, in0, structuralOut); + + address0=0; address1 = 1; in0=0; in1 = 0; in2 = 1; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I2", address1, address0, in3, in2, in1, in0, structuralOut); + address0=0; address1 = 1; in0=0; in1 = 0; in2 = 1'bX; in3 = 0; #1000 + $display("%b %b | %b %b %b %b | %b | I2", address1, address0, in3, in2, in1, in0, structuralOut); + + address0=1; address1 = 1; in0=0; in1 = 0; in2 = 0; in3 = 1; #1000 + $display("%b %b | %b %b %b %b | %b | I3", address1, address0, in3, in2, in1, in0, structuralOut); + address0=1; address1 = 1; in0=0; in1 = 0; in2 = 0; in3 = 1'bX; #1000 + $display("%b %b | %b %b %b %b | %b | I3", address1, address0, in3, in2, in1, in0, structuralOut); + end + +endmodule diff --git a/multiplexer.v b/multiplexer.v index b05820f..e3752fb 100644 --- a/multiplexer.v +++ b/multiplexer.v @@ -1,24 +1,63 @@ -// Multiplexer circuit - -module behavioralMultiplexer -( - output out, - input address0, address1, - input in0, in1, in2, in3 -); - // Join single-bit inputs into a bus, use address as index - wire[3:0] inputs = {in3, in2, in1, in0}; - wire[1:0] address = {address1, address0}; - assign out = inputs[address]; -endmodule - - -module structuralMultiplexer -( - output out, - input address0, address1, - input in0, in1, in2, in3 -); - // Your multiplexer code here -endmodule - +// Multiplexer circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 + +module behavioralMultiplexer +( + output out, + input address0, address1, + input in0, in1, in2, in3 +); + // Join single-bit inputs into a bus, use address as index + wire[3:0] inputs = {in3, in2, in1, in0}; + wire[1:0] address = {address1, address0}; + assign out = inputs[address]; +endmodule + + +module structuralMultiplexer +( + input address0, address1, + input in0, in1, in2, in3, + output structuralOut +); + wire naddress0; + wire I0A0; + wire naddress1; + + wire I1A0; + wire I1A1; + + wire I2A0; + wire I2A1; + + wire I3A0; + wire I3A1; + + +//Go through all four inputs + +//Input 0 is (I0 & ~S0) & ((I0 & ~S0) & ~S1) + `NOT A0inv(naddress0, address0); + `AND andgate1(I0A0, in0, naddress0); + `NOT A1inv(naddress1, address1); + `AND andgate2(I0A1, naddress1, I0A0); + +//Input 1 is (I1 & S0) & ((I1 & S0) & ~S1) + `AND andgate3(I1A0, in1, address0); + `AND andgate4(I1A1, I1A0, naddress1); + +//Input 2 is (I2 & ~S0) & ((I2 & ~S0) & S1) + `AND andgate5(I2A0, in2, naddress0); + `AND andgate6(I2A1, I2A0, address1); + +//Input 3 is (I3 & S0) & ((I3 & S0) & S1) + `AND andgate7(I3A0, in3, address0); + `AND andgate8(I3A1, I3A0, address1); + +//Final Or Gate + + `OR orgate(structuralOut, I0A1, I1A1, I2A1, I3A1); + +endmodule diff --git a/multiplexer.vcd b/multiplexer.vcd new file mode 100644 index 0000000..d583b5a --- /dev/null +++ b/multiplexer.vcd @@ -0,0 +1,306 @@ +$date + Thu Sep 21 19:54:33 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testMultiplexer $end +$var wire 2 ! address [1:0] $end +$var wire 4 " inputs [3:0] $end +$var wire 1 # out $end +$var wire 1 $ structuralOut $end +$var reg 1 % address0 $end +$var reg 1 & address1 $end +$var reg 1 ' in0 $end +$var reg 1 ( in1 $end +$var reg 1 ) in2 $end +$var reg 1 * in3 $end +$scope module multiplexer $end +$var wire 2 + address [1:0] $end +$var wire 1 , address0 $end +$var wire 1 - address1 $end +$var wire 1 . in0 $end +$var wire 1 / in1 $end +$var wire 1 0 in2 $end +$var wire 1 1 in3 $end +$var wire 4 2 inputs [3:0] $end +$var wire 1 # out $end +$upscope $end +$scope module structural $end +$var wire 1 3 I0A0 $end +$var wire 1 4 I0A1 $end +$var wire 1 5 I1A0 $end +$var wire 1 6 I1A1 $end +$var wire 1 7 I2A0 $end +$var wire 1 8 I2A1 $end +$var wire 1 9 I3A0 $end +$var wire 1 : I3A1 $end +$var wire 1 , address0 $end +$var wire 1 - address1 $end +$var wire 1 . in0 $end +$var wire 1 / in1 $end +$var wire 1 0 in2 $end +$var wire 1 1 in3 $end +$var wire 1 ; naddress0 $end +$var wire 1 < naddress1 $end +$var wire 1 $ structuralOut $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +z< +z; +z: +z9 +z8 +z7 +x6 +z5 +x4 +x3 +b1 2 +01 +00 +0/ +1. +0- +0, +b0 + +0* +0) +0( +1' +0& +0% +z$ +1# +b1 " +b0 ! +$end +#50000 +x$ +0: +09 +08 +07 +05 +1; +1< +#100000 +13 +06 +#150000 +14 +#200000 +1$ +#1000000 +1( +1/ +0' +b10 " +0. +b10 2 +1% +b1 ! +1, +1# +b1 + +#1050000 +03 +0; +15 +#1100000 +04 +16 +#2000000 +1) +10 +0( +b100 " +0/ +b100 2 +1& +1- +0% +b10 ! +0, +1# +b10 + +#2050000 +0< +1; +05 +#2100000 +17 +06 +#2150000 +18 +0$ +#2200000 +1$ +#3000000 +1* +11 +0) +b1000 " +00 +b1000 2 +1% +b11 ! +1, +1# +b11 + +#3050000 +07 +0; +19 +#3100000 +08 +1: +#4000000 +0* +01 +1' +b1 " +1. +b1 2 +0& +0- +0% +b0 ! +0, +1# +b0 + +#4050000 +1< +0: +1; +09 +#4100000 +0$ +13 +#4150000 +14 +#4200000 +1$ +#5000000 +x' +b0x " +x. +x# +b0x 2 +#5050000 +x3 +#5100000 +x4 +#5150000 +x$ +#6000000 +1( +1/ +0' +b10 " +0. +b10 2 +1% +b1 ! +1, +1# +b1 + +#6050000 +03 +0; +15 +#6100000 +04 +16 +#6150000 +1$ +#7000000 +x( +b0x0 " +x/ +x# +b0x0 2 +#7050000 +x5 +#7100000 +x6 +#7150000 +x$ +#8000000 +1) +10 +0( +b100 " +0/ +b100 2 +1& +1- +0% +b10 ! +0, +1# +b10 + +#8050000 +0< +1; +05 +#8100000 +17 +06 +#8150000 +18 +0$ +#8200000 +1$ +#9000000 +x) +b0x00 " +x0 +x# +b0x00 2 +#9050000 +x7 +#9100000 +x8 +#9150000 +x$ +#10000000 +1* +11 +0) +b1000 " +00 +b1000 2 +1% +b11 ! +1, +1# +b11 + +#10050000 +07 +0; +19 +#10100000 +08 +1: +#10150000 +1$ +#11000000 +x* +bx000 " +x1 +x# +bx000 2 +#11050000 +x9 +#11100000 +x: +#11150000 +x$ +#12000000 From eb19f0bbdc33b6660a98ebf0f87ac0a9956af037 Mon Sep 17 00:00:00 2001 From: mjakus Date: Thu, 21 Sep 2017 20:21:17 -0400 Subject: [PATCH 2/2] Added writeup, edited files --- Writeup.pdf | Bin 0 -> 431138 bytes multiplexer | 278 +++++++++++++++++++++--------------------------- multiplexer.t.v | 16 +-- multiplexer.vcd | 76 +++---------- 4 files changed, 143 insertions(+), 227 deletions(-) create mode 100644 Writeup.pdf diff --git a/Writeup.pdf b/Writeup.pdf new file mode 100644 index 0000000000000000000000000000000000000000..4670b1ce5f2372a8ce2e277401cd3888f15412e1 GIT binary patch literal 431138 zcmeFZ2UJr{*DoFf1nHnCy(3ERy@*JcBE9zj0YZQzbVN}BsS*UFE4?En^deFf5Tyk| zFM zGXtPAP7I)@3(S>GQie@P4dej>`rtl2>|wx1Ku3rZj_S`(eS04;lvzdO899e>X_7Nyz-!;0$3C5yy?gfKA8% z0)erKNdH+e#9_)N^=FU1f8r$ZAF&bAe8grcFD)YNWDk@Q6Lu7FaB`H8auNZ`NC`_j zN{Ne#N;`{+D#}ZWO99259i?Q%fQ}+S5ixN|2YXRT8A&GzX9u8zsEnfZ?-_u?e1P^~ z68{WG2PeCE7dr<#JLwx7sawx9dKfuFW+`&ByFJU%; z>~YMMyF*749i6(S6Ad7Ko8HJ%N|Me65A7T1$SSR^Ijs9+=y7piolnrNRf2f(B z7tmAL5e9;IvWfjRWt`^e!C@XaLx%oV`9B);?OlL6f9Sd~6!?$3Lcg1#Y~m7s68&j1 zD)umY4~WaZ*s#d&PX5mOKQ$o3-|CO^2_nKcr|>sxla~0OmC(-44vz!>y7P6lA%^RC z*NLBfOnu-(#!pV}8%;-dsW@UV#>c0l_spA)>KT3%J>BjDwT)zFtK8I*j8f{=gR~1W z4YRc8RjLF|g8G@XfL=W6lK)jHaX#svBUOQT!hoJID4VG0pYrM8OxgY+tApY6~W#7J1!4FFsMGzM+E}* zf_VP!4Cgd)egxuU=w0O1?_ zKO%}-xX7XC4Z%OkEr^6a%J@uma{CCu&zhwRkfxi&=3xU57 z_zQu5A|NU(A|)#WU;s9N7Ow0LfCGL9 zC07fi?5;4Fm#mPGCsfcLmz4$zIzqrg{`Ou%B7(v~0C^>UT#CjW7sogNT|k}+JX_7} zJZvB*1s+og9bp|W6`(6fBhUwE7^rLH80hXO>Y{%i_wvn}s+O<$au-Wepyw{fz=wQ{ed9Sp59_1pUMWAwDibA~G^ELc*d#qM`yg z3IS+(w+wbywHE3lNb68 z#=i{o|Lw^CkI+(-cXE_<{5^Jv&!6lKf!_b$X4?a#_&*2Mf69q#{o2(eEd>58;=i=( z|7URhGu*|k-9)Apy~K!t2CDM8qW2q_`M^gqD(ujGB&?fu4?* z?hYdh4?80>H}f4j4nYp?d-wVH`54%Q#DsW7d3gDFe|LgML`+O_gX9(|=`CI+IwszK z`?&f9peDxiyOxNL#{sxTjfYQ-chv=8#Vt${;+8UhuV()3z`KS|KzN;q_y!3njsQso zxQ2(1e~kd2kdOekj)@n7yAL3sCZu5(QNDiji9HdA_bt)q2_J|#A69kH8vNMi5_9kg zyFqgM4jnxM_g$WQ_j$!7B&DQfWK|xis;O&eY8e_Co0yuJTR1uaon2f(ZcrH9*Uvv7 z@Wsnl;SrIqqY#O2laf>3rKaWN=H(X@78RFN*VH2G>KhuHK6iF?_w@FC`8qr@IyR1) zm_#ouE-n9DS^b4s+u7aQKR7%(J~{m@7ajorPqF?X*?*9W8YkB^0s?#jqTh1iUGu}8 z_|yc1>>}4`l%EjUd*9>`eNKGqVZw*1jvJg}2HUg_K0ioqbBQl-@B9|+56S+|1PlAW zlI$OX{ZlS9fD9iGH+lHf042aYT#qyw8`Ndd9x34pn=(59&4ZG!zv-7en!Hpkvt6u6}$q3 z9yqd^Z)Zp{!0Yn!*P@HfbiBY>s`ak3GDHq9Gj>c`l;>4)f3c#+Q8m*K5s}N<>GT3- zE+6nNw{xxlJwJLp!21fke2kWiTXk>6#Ajje54h%^$BklxdiC8-C9tt|h{M zN3l`^GV`=_ER*FNJE#cv^=<6acEO&~b*3L!6u9LIFi*X9Nj1E$BV%#a<<=CF-0#hd zn3S2N^O9P{-zkuK2|FX_MX%bTM)Vq+Utp;$@=FJ_SewkkuC3IxXjfhVKC6a+y$>NZ zC%3DnuzOOQQ(4gGCyoaQXey98D<;#5=J6!^`eySgg?6VJ>g+l{kO%I=Hol~6|={>HtkRftUQ z%!&8$_)tW!WuYEWp`vKVauYEhU`lx2_~Bi+)9kY(yRXF36*CKLOgjC$~JUCNIqe^`n{bv1P)_e?z*KA?@0DIa$snYDP9Z|iF3 zzaI6iX2&`%wRA`_^+TB~e5|Uju?m4%-ZMIo>+4f`1<_k?8?v1JI!9h-+Iq0kdR`|U zKQ05G?XOQd-)c_)2jerP9ELLkxrR5f`HgWW;3+vrR%wyMjQDcR(qK6q1?+8j`^Irvh>x`a%C)?}bNHjc-Wyy6csyq~l+Ivz@rKdDSpNQYo zqei4>@lKodp7MJ8k?%-oFW9$XaYsNM)en|B_q|{EQoK2oa$-$(C~~}GD+k2(%v`?t z*%IONC8Ub|g0|QP%Qf_6U7FDykA9Dw$-)51jGM1G@g>yFP*(sX49wBFF>8A0A#f*k z#dA+o`J1rF$ZVyVfAxT?t$uX#Bb#^6LQLGfT(ZZ!I{SWZhjZHCO0PnOA8(r#FY~v^ zT=i|N-5G4kfgEl(9J!K9?=HiV)V%9smVG&qR_SU##E*kTn9oSHqu-IwOb;>+Fl#$Y z+@te)z_vvDJnuMcPiIG>4atwNo>sk!A*-etjx1gl%Fhd@?$x{Z!8KKs?wzpS!+`u~l)#?v;hc z=Q8R5*XE=^L0#FAbJYcF&dwD}=N-0&QG2+#fJryX(>t+UTAE0FCaAkE?I*VFJJ{^(1%$l~Ot5$W=uh zrG-6juN*C1fZ$iYE(`8zYpBo6Ot^EVsL9dC`w(2lm{>3d!g1K8w}8X(4ho)vN*UHQB`yC>!FwxMKZ;p7x)X{w7!D7V)Q(wP&? z`k?+uuw_05Q;KG#3`q0L|LOeQruy9>^k`f2%PR8mQ?@?z)U;wMDQL@ypP_TWgN{hK zy&_}{NCv6TSb(LC7^4*g$F`m(@44zq$Aj+kO%*Pzx^IoFd_A(Es;wOrZ#^BBOe(=& zcDptmL*=Ey&K5%>3Y{(WkN;e`5EKL(_58keQ|zWY!!noMyiD*F;I=d!=ES%;p59{G z&B9XhH3KHlpnh_HM~1CRreB7jURt`>t#py{w&tR>;tX8Q82pgP!-mfh=Co>W<;(LT zE3-5()M_~-G#(dECnW_7?9Vs|=vwyM^KW5595Qjr%ulXA*7g(oY!GgI zwyeK-NA#o2k`l}%!{B131Fnaojh)F60Nqt? z0?GTdysFr2sV9$+=gokz`Q}&^*R$$nUIF@d?xvSYtLl}vty^PP3!%1;w}WM4QzATb zswr=E51!Eiuf#n;Lf))0Xe?k$#zkCXBk!4Fac*hl!D~2AAM_&9)`)Z}>dxwJ$^hQ&yT)=M{@EnDFD(bUlCXm+n}y-6Dv zynTDrhA9&jgq}*?%|Phxf8hlElFV%Jwz??!bmT3tTuDYYugT@T8^YE=Ds$J5NK9<8S&)CeXdEDPMej#Wi%rZqK#8h}k^n4YBM^VW@mwCNDn@x|Mu( zdvRaUW=;Ai;9e&a0Yzu=5X)P+$*JbEY}*9V^cfqiD}d0Rl!qeunrV}9ORT`It+n|@ z#Vu<=btH*tVD9v)TNU%i2VB>b%gDt(qqUDsnEh-~JR=JY&4_Wj@(soB-3i98WfB0a z(g($r@}R;s^y3@lu8BIuQ)q!QzdH1bhh;_0PqGvuyz%kF8ha(;y(>>H$*R9A4%Tnf zCt3$wI{O7gMb~>u_k3&I(wW`b#yQ$P&Ou;k_ROX8(TiHF;Fj{v1M{4;LjhTkqjtHt z#%*z4zq~A0kv?dAlb2kYBCR%4n`ne~bJfQdWQ(T!SaVIBgJjo+WKA*OP=VNUv$k71 zH2%;hjmay$*u#`$g!%N;N!t_uX()2r_ss*Dj$&~Ljq<`4qjCjE)_2O4i<`e7qw$_m z>HS1}#En(fS9jBWH`|9U0peJp^&HOap$PRzK?uX*fd@ao0D5H;lPgO1o$5VrjHkl@ zfSx%pYJ!!Le6}>NAih);p1)S5E$+AX&|%krDYd2R3tJKWCmtC(`7ujMT1=X5l|S0| z<&yfSfKK^rwgM(0Qc z(Jx(uH0;xoG{C!C!Mgkcas|M*3;w!wdIg9$Ckv(Wx78VGk#Z^jl{V0nSw1+LT==c} zOpY(7^kyvfjS8jK3g3_55bqC@$6^}a_l@eqom#UtT?LR5?Pi_4_XbKn`1oeObpb%f zTh7ow-Tg?$I)Ur)8eJkxb|#K+&LX%5(6fsl^7eJ3Kh&tE)SXCu0SU+CJ2j zS9NjbpC4@-eMorYXKAfmfL8=y6aSgFu4zsE!G)-;)WL;^S797-_*m(4m4A-;QnIy) zWK0D`b{_H}2kln8RWlZB zMrn{6#o zSm(O}SSX>k$pg|a>n(#T8>>o>AF@)h;{TeZYdm}G#}K@ASdSE`;T_}@6L&L105|~% zFTlE47Vk0CdtpYf-yq6z1=-jrndc_!KBlL8#gcRqU^!5af>pNwo!UZd8f5F$Iu_oUjcU_fg&KsVy2GIn(%m{4~vqHKZ zXIowYUdDIJ#?>5#)`T=I6zuwKO(u@`UJqqAH_8oiGoLyRT^R9??~D(1@h;~6+QI)x zGp~J;GMSY2OvA&I-T&*7ymM_E>#?@Z3+wHI+{(4Z*$}M*u9}aQV#2Ants4{S0ngu2 zNqeSB*z_-gYpKlop*ebjxsC;OnPgRKccn?_(84`55i6Ze3(t7cN*AsGtdpRRzuKo{ zZ;V#d(o12EsBPYnR9q}DdDzX1aKYr4Bs7tH`#1}_0z7Ywc{?qDx+FSSxjlPpWaUg3 zS-H+S>FeW$ut4XTgfFbXWgsa&uk`5yzN`<|!-oKai;&kWLRr&RorM@wGZW~L9Dd)K z$1P7o-A^Q;D!hbLa$N8SfE#Mtq2sV<}D zad~CI(%g$e9hBhh6-$lH7y}iKL?lAL@D1IIB(~N3hdNx%Lc3RhFn2}gDeEhM>8Y7{ z8~u>`QbUVUz|-;VekasATp*V((nJ#4M5AnaLI>t4TDR&!y62*Y7=Bq~_T=6awBF?J zZZyn#MihME!)K_sqmz}en2OYqdYlT*8g_T8OWPX&?s2&G(@T=g*KL4VGEBu~_XZ7G zQ3qp}$%IeylKV+#9@QJj>x(_-icFt0m4DMnFO5w&s2Eukj97?eIpq2Q@$BxXM!vB? zMw(5?^L7tpf!H!O`RV}~F0Ifk&N1-|Taz=B#N6)OsS}NCV3Z--^mS6F)2z_ma@XUC zZU#ssY|1xV6(Qij=n76d5V{dNER1;=|cT|N{nOfm~>V6WMFktF(r*lirdZawX z@Cxu6zL|7Ugt}Cx>MH7aVCu(ht_7!;#-x329uq$~7qKr?&Q*nkfcfJUbE*+XBr~@v zmE-r~pyiOI{^-yM#IcikuGYYh-q7~dxS3Sz1$<`GBAKD0UUA&>^7Jx$nPDc>7Il6z zQNbUhddraiUQQH&AH(V^2)v!aKkLAgf5YR$SjCggO6T3BnUyLu1%=*%*`Xq3b9-xB z6e(8P(roEldr*vJ%zo!m#syy<3Vy+J=H7)Sio|X)d|$7ZdZOcGI-ow=AI2CaVlM01 z`rdqM4K4nCO)qCo9Ksakc!#e@-FjC-e2RYQad=ODTE)E=H z!W6?RZT&aLp}}>&dmVIDVc$BvOn}rHOk#Tnn6%+-zsM^V_O_qkS^VdvLo5RC9VdWq#n9O|(-x||oJ0*m)zI#Xb#`urTD!KdgbXGok| z;-gtgqQsS+zM8zJCG*>ELU-2d(3kljZ)Ze~iVJP?jRyqPt6X+jGUa@kp`C$;>2~re zkP_7pN4L6?!@Hsg-iu)sA4-LilO3%LtEMer$%&Pl@mq&E>-~vl@O&Ohp7O(Bers%7 zuG}=_=D?Fp->T0=t6X?kqhOi&i33uJZ2j<4kaOx{`1nAMBxlc&bLiW9T$E4)jE%_1 zhCr~;t&h`*d3y4RNyaBH5NdPjiR&3As0fJ}C8H5fFn5CEG|F3ENK}PWMULCLVe$7gG%By)CKSg09X}l!4GV^H(J|ozF-*ys1*1)61fyh zcda>IesAjgm~=3!pI>=frejEBzIkk_em z-pAbZ07ucDA4MGmG!Y5w=CaPM?_HbnmnHSr3^5F^QtKM_VjSRH7e9OZdq0$8#=4vQ z+%5S)ZBd&?vq#^D#VVG3k=5r(mqEWC1QQm=nvjY>9e!9nz5ONP*nvpAorPbjGC_-Rs4Y2HM) zPq>C-bJN5w-Y=0smHcDbJ%eGCLE4et2$;(!K|9p@=M9bZ`WG37aIEi?(RXn^MpzPX zXO#ZR=A2G) zd&s`v!CS*UY&Gf{eJGAVTI9zMb9mNzCoFz&3!@6GYhlksyI z@#Jg|>FXK^=@2F=KZ;V-JFjCkF|f_CeJsjW@wwjAeQh0C+tKocOd6C`ym6dgs$h9p z*2)AYfH|?`jq=b!)OQxzk5O}-;X$?GOHX1e1XZVJtsV-`-6Ocq_Hn3ELS1vmc(6W} z9feeLPjR^dXriOYB2C@N$jFv3k9TyNDUg){gD(wKfsk8X7TtnU9BlJUH|KbEO5S?Y zl)Iw^Y=2CaK7H&dVXf^^9y&Z%bOpHM`la9)y{=vLoK2a7{|SHo=S_X}fln+KYt}9u zQnI(mP)%)boYjnj%r>JRr&o>n?$ducEVa42L-DHIoyy(hqZ_pwIlms1=@r?7dw`d{ zT-&mX)xqYa9hLqiM+P;TG>qYdCi?hf_n77rrpA+qA`yjm@>5!$uFYjNy^=ECEi6bo zO-CO+=ieVB>DisL92r1ZidVlD)ZFX40%WoAaMmlVOA6t;`OH{+szG0G9BHrBPw^nW zpzJ?tZ3-HTZuNUG7R+PsQmj%`kXvZkBFq?)Wr*;}uNf-v;WyTZ0TzR*rp0KrH7iI4 zmdUmodX+4Ox9c+V-55+7U5YSla}(xzUMXsRgyvq?Hi(!QhPT_}Yg-vrN6I}-4eAkJ z)dOz#sW~=J`^XQx@yD2DvF-@OWmc|@tWjAhBo~u?*I?sY;b{D(=09kx=};2%XhahX zX1O$(fiY!*pw?jbkSoBl_WT@~nR}CSX+V*BvECsopA&w$0K4HOi!D0K#Q(GH13-tsxrZ}`b zyBM2aNd+$`s0E!(nOn?`Y_KuD_T)mp&dPWeI9`{sWH$bJ5oHv#?wkCx!X!jtRME2J z&9p-arj4Vf4kZMs5Q4wj)1cO7RQvW-PJ{8Mr-(uB@2u9z+n=_xBj5cZ_O(N?V2k19 zDcg7ACtR`f;9s`*gnH0H13fZ%i=PGQp+O*mL_*N5KILeoPrB1Y3K0^8MA2me@;XIp zzP^YluESU|4hgH*(w!gs@DmboN#28KKCHorac#YSrD&xeJwR>v8pThUA%)|@P0$70 zuC*o+13#XqS)?Q(S2B}q0{?9Ng779Y=@-PjO*mHpP+qp0jLGwaw%z52E;38 z;!^|sLDIdnp-*-w-UKUCH0O7Q9M0A9SND2|eLdJJ=t#WL9-?#%IcBFfIf5NrgjVE-sC3B2WxLA{db`L?u?5VBIXJ!6^U0&& zRiXsdC4$fu`NgU$Q_L6>&!kn9zVbHpzrCcJ`iunO<$(v@Ch$15&xmDU% z|LOeaJc+S%FI)|JYLTd$uk|J>#WG~P`3k_{&U!r`+xA@k$*d;rnG||f;%gVa9hL8S zdz@Qc?gBhs&m1<^ko5%W%@n10RO(Y^i;R z&Cys**z^}`G7xv&_beKg6AkmxB_i1Gc5`hbsA@fa;g|D8{zxBf(K>AT$w&`otP;SN zCB=04V8%*zt6ErM!wMzc~_`Nv2MURDv-Mkm03 z_Ka|Kx9t#qn%a8fE@NKl7ortW+ujKtE*3OYdOP|)@o-0OM-#T zaC}vYK({p(b*^ZksLe1ZK9YS;16TojF9Fbmy)klU>+9M&!mg4>AE&uL-dXuMS~{4e z<(P93db$ejRob~X+@iGbYGK2pdu#%8ncyA4cJ^`?wOw#{u2k8)bN9%7>$1)F9>|6w z#d3sUNq20&5ptWJM;3%oZMgR=xyR66nqbM-+wv*iHtS(>)xz0@+uq~4s?e5GxvF!kP3>Ho4u@hrrg3Rm88)EWuXLwq| z>_^Z4_?2OX-Il7%DZaHpd#u31&Hx&@@}Ug3zHFB@e7`a*J*GwQ1!n-BisI9q#fGRr z3@+oB|J=6di7KrM$-SIs|IVqvaMU4dyqRC*#t_~LcN0XOl`D?1f0R=F`cW>mrCWZB z8J}S#J`DakShM)oIJGKVFqN;@TRPS8)wQ~r9(8u3n;1g6-45lv*XVNvu%5#>Qg$lhH8!J=t&A2mt`Mwvswa3UVC>ESqp##S zmRH3^rneP}j(>%Wosb2hTGmxtt(pI^`XR9xPh^*jBe4h3+Bs1g90m3;YH?a zJ~r2fVdFJ%68E0_ixgP$26SKtRhPDCe>G(x-uQ#xX_i`D0o>faI`VQf+`IMY#@Q#4 zglg%N-uyeEsQydJY4O=?ROpa4T^s*)wLetz$K6S(>>#pOx%Bja1sBua&9u9o08Y8a zL1oJ4!J6J5t^n@c1!5L-G3#feG~y~{VFFWMDYpoFTS3;duIS#*5RP$cYmWl~Ml9zB z!m_VtSz}=|w}@BnMMKmtk8{HXBuc#fPJgK;^K5njcd6@~v(K>Bn|IGldpa0HmJ+ja zuSdYLLytp`2?_<2D%VFBYvT#m(^k^pVR-|iSA{|wQm4!!t zODv1evLh`eqFi21+*FFeI=tra;}rlj1{-gQeLrK+-kHDo+sZ~UljkMI(n zg&s&VEv@TqUoUmZ34ZVcDWC=67#{!Wl&q6q_k0dO6N;zDA~h~}u9LrJaab5sqFOM^ zzmwlJaQ48fOHhv<&8Kahr|qMIoI1yCt)h>A@1~EQo6&KP6rXxk*KE&mxv9o~?O=6N zijy;HV#g#p*W4fXqOpIg{k4Q@#uwTR%zYKcSMw!2w)vj^@rK*Wig$LHWYt>IV$kEo zo;D^v))(W6WrZX8@pr#-L7`SxD?|&J&4r_F{>uUp-z#{to&q} zxywZJOKH1Mzin4xQVqp_=*Fk|gZeLN$>UMIegN^f0@B*S7q#5=C2R_6f7l zi(n3UV{fmTHPXV)J1Gp0e?TbZCUSSF#oKztx=s{ z+C69UuJ~2ais6?PlFz>{)(hfHZZcT4$c*_(drf7F5+pwq)a`j3r!g+pY>)T#CJQBf z2S&Gc$M^Zd4D>VT`unExy41qy$r-Cow<-OB;oz@`qM2Ha8w7UqQ4;62morB&=ARJ6 zdCK|CSL4Cl<*uZjr_#;g>Kx6oD`2Y#P+zkPVPV8*F_ zIKg7f^IoJhg*CFgoz@-0orw!5D?zfa!e%b=b4Fm0kC78(*C8_nnglUq`(?JwYrbhi z@yK#@os@62P0a!9i6HB*dPD@*l&H0~PH_**`?keHKmIQsU;oad?te!smyX-RWP4n{ z=n};?l3)L_v&c#$D22CdP{t^RigIA!0saAPXZ2f$GGaR~Wvoq2ncL}|2lfeVFH<3r1>hm>?`1tQ7I*$)vdrRPlOKNHK9+gbi-9M%6!i*{Omp&MD zYha_SLo0=jmAr3Fx!bN69;}&euZ9=waMkC?t;-R6fMW;tX73Oe(VHIAzLv2^r!KOR z&-nW+PP#N8o5ObTyuok~yZ_4Lq`p1^yJ7+=;%=BN8N_7Rmg;@Oh<#(jumvA*jDzeJxourRV(!L z=|}W)LSqXV$D931!mL(_4kTOt^$sowyuWPBlw?A%G^zf2d~%FB;G2|J%0D*jN=B=GdwUA+d7FT?Vz%pt z!`b}%C_QbLImw4bVjLy9jF(E_8OY#tWl#VU9w*nl;I0(z|He6u>5<;r-Yj1%5|UuN_Y+LBC=CqT zm7!4w=NmJdg+uJ19s9-2KbtoutcxWu$2GC-BbBhyp4u_~P5uf)#t#jzbK$3@0Jo#p zwL-`0@Z7?ST&&WsZJia5$;)c)M7l4SW|rgf&^v2S+-&Y_M@uYP+o;&{o3gf2a``ue zL`^RT=h?B0X5Um=hAAB^;L_cBRU=mb(L_I+>}4P0;dL9*^anM*qwv`KLb;Cu#Jf`m zKVRnL)wyL+}2|^k#cMa^#L|SN_nje9s?qLHD-6nB1ttW%EXxs zR%Sg;3{_k>bC)WJ>7+X_7@L%QD^R@@S}HOencoctEj02AvSsaXX5Ka9in$jX>HG!Q z0XQg2+DQXhu)HWlPxFVZYlh#*k}Gd_g0%(seDXsR5iv=+AzB)Pu~&fnP{i4n)!=&V z(~8_z^YbwGguDRGz?06ROMdN*PJV>AMj!)1Fu$6O@^+d-a;+k zu_#vYOs}b~l?x9nPKKZf49;^&TRRtdj|udPzFWI(yJYh#NvtPjk{E^n<5k-4A3qh{9_Sn}OnmwAU2@?JCE z{C=I2=|@1Q04kDswJ9L?%-m~;-9C24C#2MJQLzNh8fmeSjN4`GUV}J5-;D$BRIi5R zF;Va5rB~z9kn^FbUj-Iw)M=ajNCwirB0`D;*i%GO5Z12o8S^Rd} za!>|N8f}a0$QNI>*1kR55r&;YynmDbf*Rda4l$`sSs0k*je}o~qRQ=lti~U|_^h0I z8S|QGaiyTHc{qP(JaMUReE)d=O#2>7kXpkNpXzQv%xCtqUjAGH@&*N0@cK{OHoHrr zW#Hi5$_v=YR{L~-N1(}v`?b_&)-tUQxTwwXFpOgOX8gO=II%&GUn~TubIn3GzclAe zI4=m3Y*d#pP}ykI(?BGM@5xz#SAqJRIN|ojpJngN_HniB^$&cuDnb5lWIw#qpvO;W zapa82i0a%fpJ^RL#fbkXo-+SZV$p=whI+BTp0?s#3RuuImgc#&c?D29W(}}HouO7X zy`_@ zg-|n6ZHP^MHxHom(NSd$P){$9Fu=$$TykuO9s6jf2ehc<&CX6ewoCptG9-GPh-m9k ziI;l0)0bSg1S@N0!F1n5^TJdp4&x_J7qt(XON$%x_{Rx3J{eBb1a_i6#@eS_%3T+CA>bNGwWwd4^i zP?|AznTl*sptZTL>gXhrfU>9cH52I0EFDUM+4Jk1MntDbFWm0v#bD!gDHgLAGIm{Y z-f_5>Af?VMHr$UWUMh7)Ji6?-gI3XSjy4(tR{Q@Hy-jnECP#xLi73p!W3lA4U1-mJ z|6Ie+^Zr!mu6(7=j{^m_h4(-9*IOR~8Pnv<7)>UMDSq$9DEsgd#Zlu z;4*bU@4dTZQwhjVR%c)K<-17`cTHJ&*1LzIp}cWh>Z2PH)P-{(lrO66!R?U;U)YEA z-p1@%uZD3Uw|ig1Et#FK09t8lM~_{>o;oK%aG$Tf;@cnZCmD#+IZDglwD9)NkrQHc z6?VhsOsD`|poHbK>A^>(9>b*sE^ofDpI9vep6Ww&&qA&D&y}d53ZWIfoFVf9+IrjL zsqg?`hRNyMJ;C*{|x<%yWC910`#M zqqUv0fM3PQ7zmeEyyM>ncP6eWxXqn&*l?Y(HQ_WP)aXo3b@fJD_uWp`qa#PgkWL&mMd2!b3Qh9ipeNBO9uI(SJo!=u}uG`aE$@i^-Rh`v}t;f~P{pvFP0 zN zXDlJ`g+ZTw9i4|qYNG-hc|HB9U~5i~{Z8r^Y2)Khnf=or{lH4_wQ`(o>`kS=HZ$)d zETS-_p=mJe3D{7z-sz6}AhGhHw0|*H&aAefK~(*xo7QeqO>leTw}Vd##B{|6T@0(w zT9o`;$|mp&FuRsBXq~{Sp_a!RBJ?uBrDS#trk+xlb-;`K;xDT2*Y+@aCCd3WUkZDV zHiu^hv=6+5m1IY^{azqhZ@VX(Y@5v~W($mZS%zEZU%imbL!g0b#K?b$z~a72sk# z(Tr>IX?bkYK|zhehI@$DM^)&ps5^X|_I~%3J2=@Jih{ZGK$0mI(+sh*sR6}PT<$Kn znu_ad8@^mD>?OSwu@RO61gu9z)}!iwwHul@OX1$XDo>aKF|yHa5jxh2zvjZx;70;0 z;ro*@xTQ5ni&7cJ?+w~5Q1u{l;0mzzp`p%yR@ZyC7?9<^*s6GBHG*1PnwMPXB z`lDqywIn+i7Vr2(Qyw~7ZnK7Z(M1`+yo`{SQggNHvm|3=k66a-V+EC9(4NuYVo*#> zuyK)U+0eCv*PL`B*=HWZOOvYin)Bvtk6H8Q`g}Y^e_C7tK3yh!u|1a?6F=ko!f>RU zwWs$|FQ}kH#y#3;i97szAw4}wSInN(@s9(^hB(5Jih<$I!^kBn$<=7W`sZ<`fQ4qjW@iClr+$BAw770@6DO2na~8p+o4sSE+#jq4(aA=FRVS#=Cc%^PTT~ z_l*9o5VzLu>BI|+J1roi@T30FewQ_Q`HB4Dy91&<*8{l89 z-9vG<6<$*JM$^QeHYH}mh+>CfKG|?i#l>e&#Jd zolKbiID6pPQl^$E+w)yQLQrB#%^p3=q~ruhH0V`M8>10MY-Au1|CY7sX2oK^H1n8A zzpf&I?duT5aKyT~g?dTb zCe?_js@Qs{R|;QF7!pE>*Pd=DyQbiVyIaQA7AZ6HgedKwzT7A%6yr=5y$r00#;263 zwZ-Cepc#l0iPQGU$HUCzjPZDo5>SxAB z*57kMHF`{>;*s{w?K9&c>5T5JB=#{`&lkvdmuWBqM;`vhGuKE}Jb&>+cCm8G%aSLT zk$f9!H6ZGfnHjXa<18e_N8`)svscI_HE^T2o%7|ZI7NZA{YQxNx?I*2Q-lg3c4<sVcvFv5Gu#+8+?Aw*_L=GY0hF`+0o;e! z*f0A=pU^gVmJO{HUBqVFP+#OEQp;5t&DU`e5&Z=4=~p~s$1G&V&<59CSv>cGxpvHc zjJv;4wk}!zzHH^lZ1-u0zg{Ou?CkJO4pQM2Y>PT0*j^W*Uwb27Mwx&Efy5HZ>uKr) z*Rkcw6z(LYbRQzmshYNLtD}8?wZ34NqXZC;y(yhrF)yP}Sf0a|9zk_#2l80eRltpl zEh~o%80X^Qm~8eRI-0KYxN8cg8v^Z7R}5W-u0euc!-CmW$f)6s=k8PYyW$@X-*}M& zXu~=K6WAhuSm^kD+~GIbT;bRVxq|CAR#vDGTz5drcO3m%Zb!^cvKBH@Em7ZpyZ)Gn zueNLY16XeH!CLzrci)=hu0pEuK3hR<%nmY_8p2J_4E8c8{XU@hkV$&)5ymEF*a-T- zAZ~*MO>Z8UqVtKM(s#kx@T48I969CTD&K zfG~gIxJLOEUb&vzLc;AC^&;MV6>aDX&gLw9(K-Kgya5`dNzs7#%$-QZdstl?HbNCe z?nWZN!ILePpl{ZydT#Zv_vu?&3YzS@^al|0Ej8X0QMToP6U`M)Yhyg~r6`*@enxkr zYH5+^$`Z*rY^rv`$mikJ6+1xk-pVYH&CKiyh>c8LYMe6`>ouf1_}Ol2d$=5@YZsQ; z&U`0|_<2<%w$Ikq@;tbUoZOY$!_gi;A_k*TgM%whuwO*%Ubp8y<0Yw5T@KWZ7sj!tt|3PX&{|GdN@ES# zj}yYnN0ZSRljR=IY11SM;@+fbY1X&4#t+vwB;=*2WV(sb#`}E{ktk{=RZpn?tLf-R z0pT{e(GzM#KK;FD4p-Lql{1dzT`&QR@K2s<7yOf^WMjU~B~r2Bel(;1#-b59dctWR zT2b$TP1UyMtX=T)K^Xf#tj8q>xfM-eJBwDSxWQ_Cg0W5#I(}GQL~{C5=c#v|wbi&G?1^DA^oAf~Bp#(cg4Z5iwo(L1xCO$ckST~nuL%`=fE#|;aYrN9V zMhe}$O!wrz@C%>sr@hHl`Ki?&8CUl^sYs(%bd~YGL6!w_=SiC&DvUB97miJv57g0! z>$-T5Y8>6s1nuaknvJghO~GJ3dV6_dI@sH?XSPTtr!;G{QNzuoIaW%JZ>HK= zi^i2*Xk6*2y*qwu;le9PF*E3#KfgVCSGo&&xOT{uiiN}WVRU4Il|b}?VwpfrIt
*36g13MnEIexH-;Ap~StB8{)Ee@+lnymM;`Q8? zq>D_Cn{~B~iVc&?$({DsnchA8(Yg2qT%1Fw_~G5Ib>f)OJ0xUasPf0duU#GT3@_Js z6nD=gI0+gSgH2B;r=WX}p??5b)Tvh%TYmtoB-=Z!{BgPJ2L1)i@n5h#-;Mn`SCLVe zGHV=Ldu9AZhgrXqZ?n)SAmBiRE>fU$?{(G-W2DiHu@cw^T_WqUA|&n8_;pR>L5A-t zMNZb+E#a7h_u9yuoX%In2qJ5|^8KMnhU}DWbzco<8s7;GRTN`G+`=@6WRyJNl1+}7 z_|@%VeVyI4Rm!C)u`v~|xUDTDe_rk*c`7GvZ(0h zQ+1p;eHeS5M*Xr9IyAl4KWdj@%)Ng%0J5G820mx3d z6nsq5p5W!muA|BHN_C4+l+Z4xx_yBbBS`k6J02H-ciB~Ytw`<{s2ldHt$@FQ0J{M`+J3$ zF*(wKf7V?2zxlkVEmV6_Tw-;UC@%o&mREKW*d=SII%a`Y@*pk8+)Gbj$RPX`OK3my zd6`Y!ROV50OLNg%!S&qk%}@vqbA3f5wY)h-W@tEckZ-8_%Wl}|VW_Ka1GFph6RL;V zpZKNyi&ue>$ufL?Gmn_fYE=G;rBXv7Vr9E|!JMj53Sn|_Z00V{6TU4K(zWMJh2!Q{ zwg#>5|7;`{I%HgWN^|oPi$sb#3)Pj9SWyUmjVoDEu&_8(Tw~slmis{hZBGG^FprzM_$0hM;1>S*kWdUA>sSxt$eUWQqV$bAzfD<@xj0VRK$aw82k zYxo_6rIEjV*SRAlVI&#q#gdo7eWRRj)Yeb#OfCK%_PkA;T|`PXtAg-90Vqk?x8K(% zrhKhfbY1S=-6%Byn|V%F2G%if1*>5+rSb$+icDcJLu#Jo>+I;gaMb23zbbV;)-qvh?)`Y* zS1OssbNv3JUjFnsFpY;>Xj7{xrRV8)n0cLRm{itNzpE#OPl`vW>pQ9dy}vA*N4xY~ z^@6)wD4^Bh#;hG~VbhA);2-mHU!+04Q0N#t2_Co$$dlsk9O~6sLSsBc>e&-Wb{~Mu9EV1 zy6Ib5v$(de6E5Q2;hx6p-3bE^$iX1P*G3Vvd@%}SLWQL^$hFvhm`zecc#lxF@w|90 z_D?QV4i{s!8z$|j{m=V63&OIE;X`t4*|sB(>HPZ6A2kq$ZAy^|u6WJ6GVFz^LGGZy zV6Et4#5Jn8s1wgHFW&rwdQ68nac;!HHFkZ{H+EVCUI51-oO9U+HAjT zdS%vEkQHX}kW}N%fAylPtyAQ&4r@|lGerOF+k)ADuB~&p#=1nxGPc3)+twVkx zaE_*#?@F>oVNF}qegl4~pe*_DwaD*FeI)5V=Fcrol17G5^_gCDWet=9X-UKHi3W+l zYmHu1v8tIUT{6d`>UNaNhA28dG}PFQvF~5E%`tt7aD;mwmmG?evkQ6jKZTV z*31q>6Qn|l5@v2iD<;+(r_Q-GDcMwb+UDhxh=0ch^D%#1kc!|rne$rGddrp>y29{u zr-h@1B4xgO)B)p>;{KFR;Af#AZee8u>EXBJpgv8MZ|E=-AQzh#uHH=buI z`O`iAfc%?P#=3VQv#>`sZ-JL6;bZdbEPU2eO%T^b7T<40dO5YavS&2&1nr@i56U^~ zr-NdhkK$FE#?SOWv#RJSd$2BU48J7GCK-9N%Dnsr;AzEN?=9ufGHyDJxFeuOU)B8R z&^Of*2=$UczT0)oH$oPKJdo*s$`~If+`m!23%i2+0X&b?yKhVS1Gs)(9G7{kJaPUh z+SGK6{A~E$s$#41ATQfU1W}7mlQS<9gX3i3tsL-}F!bD%bP;#hPT#5y5WwtOAq~7c zxz2AJzH{%WU#h#XJ|skSETq}ZpcQ65O^^P4qpn5x)oTta%!n{eR~vLk9-Y&ib)_`kH;quyt;Cu~hKa@u3^=@{ z>$crZ7dXZa*&9dbPvRYwq=V!_nNU|fmowR3W>=|fsESYQRnL<_<2~KQXnwmZdf{2F}|@F&d|S?tbeg-uM9`g`N>c-{)zxg2u#V|2Hr2fA-p9 z!=~MrqS)b0%K3Css*`&_!}MkD8XSXh4qbT+1egPxmN*V)2j3Bym+uC%L5L=1bENF- z$Z(a)^L1UjUh8FnuJwW;8R5Rnm585>XsX!tZ9AzQQ>bgGsdKql=s*N}+qp#X5C`PG zwe)Mp^sg6SrD-IZt^g0)W^(AEf`5)7T(-@jW%z_IV@&9GrfdxDjxn^6e``hSG(V3s zLKVl3l>T|aX2*Z1He~9=U-U#t*B`AFf?JV{@lWOwNJLs7ODRL zEZt2uWlRaJPun5Y^C~Yhh7!J2$g@}g$mSitU>67u>6LBYKRDW(P88)T2d2rN42a;b znu^ZnoU~2k^+|4j1(1bO_3x_cYS`|vj@xX;=*cZLYmO>S);xwhZu*w0+z6Mct~GZz z&~_lyd^7rPQ|(bhGzJogvT2Ajp6eFPi}qqHDWK#m!P5!yB=W$nd3`iEcK_b#FQlFX zQzN|cKkQ5iH#W%O=c*8RIycXHl^+>A6v4+wNQWc-WU%=9^@ZL=3Z1)g&3CLyLtBE) z%Jn*RuOGCY)F9J0iQO4Q=nhAh7SQ7eXfS8cxi)8L_*^mbecT%?v^o*}h}Sa z_{Zy!JL1?Ndyk?WB{zH0ofL_^Fti^`Y56N%s;#$c^izYs{wLIr{8yV6-zCZ1a7;Rl z@+O~lop$&wG@Tft$*#p5gYnmnpdVAVG&h_#Dg;eD$e{-Y+(N<5vrhBu5+ei>_%^ry ze6!dZTF>u{$Vx{XRj0AOw9zh|36Af!2#6j8f^voYa`d~+acbixRq0tQx!UX#{IKFD z89wXU2USO-NeZOfDM~S2ymr}N>h6h#Fr;8^^c+XM!MR6?nFU)yiPKKoWu)O3UYB*C z=Wz<*PlImag4Ab>@Ls=TF;D1*44VyY{Wn1i`mf%~c~^QX@Q`zwea2OdI%E0c=O<;d zPyCRwp95&@gzZEqdp(!!b7~T=^(OY$Yilis9F^G{OiblBSEtVdkCageygahW^7;Ya@0YuIj3x&jiFI*pWENa~-J*n7d zFZnb#SVV$phnbH})`tT192ivpHSK{+qIkdZHXoQ zwR5I#K|^S!OJY6?T8mCK=}vHf8r7LhKUiTWJM)^E%5}(0`}v`We=@#}Za1kkyxr3{ z9ae}|9}@lUCrEO02yiq~jH%*Siu#Hfl`!(ZB1(9Q(X`O@SErrYcoV}?H<^cRf!|l7 ze-xW#*i0Y8L-xG&Ryb`$plntxbc{UW(@-T40>`Ucvr9|uR5zF7!e6t!<+=g{`X9ZV=xwF^tAzPqyfC)O8%6?_ z55rN6Q-ZS)qA?qaif*#BN<~VMgvX!8d0y4gV~o~#+?^n~&$3k#LAEl!+Xqa)_ElggZ6@5;*R=&up9v4QKM0Izh;(HH*~VbC!I5#MRT z3ZJz6GCvpSZWRawx#vhnVeC2d(w~Rxa&aN=?`gDCaPVO8p}KDh)LvEefFhcj8c``C z@b#;sW_WNDANA8AXrg{vSHobGdMDaHmI*+-s5a%oc8uS+Q7UWzw z8gm`XYv9B>`=u*hKC}9z`;f&JTJ{{4&|17hHoMi(8VD1|ECNv=4BJ{JQ>bV=EuJdS z$Ql*kSz-m$nJ=0BLQoms<#%k`RlC#fs5Zcc^O4I{yt>U|Z}zdQQ+a{X72vvk+p z?i=Ee4GI{>_MK(F@oZWzuv-5B#_)|2?A*2F;0XJ}4~-rgwr9Jkxvz*GyRTTOI}uXR zPC)UR8PqGy3>%sH;f*opDbV-gJYA{{2d`f)%eWZUlY{@X`0Gy zSq3?=myP9`(iZ^pBTT|;?0l|*q` zE)DQ)G4M_4$fn*=mA7EHwEwO?`04<8#y=~|$R}VE`1)}uQs{2a3RyR>eGYvT$<$tJ zczDsAyE?x>;|8yh{P6WF;i)14?#G}vef0HxSS@tktx~7tKL%TG2~R}A*t5Qm{Kw`8 zy6~Dcw+p{4H*PAZy@m{re-FWGa^x=%!H$Rm69gags!fGS_&{Y{B#99vXTa1eL2Jt- zG_*#JZ|<#mggnM8tzU{6ARyo$R$6I>s!e{bPGgf%&ddjCh~^~u$yO;b9lCwU3ETtp zFF}e>q(>9(sha8J_2h}Q<$}Y*y@}@RU;Ivfu79s$$Bc;Aa&PRgT@EclaV9lXHAkjU zvB0gFb>-QQ`>B|Vi}8r#k>6kt=q~h%QMa*Tl;<`6THq)%-VZ&ql}OW0ihJU*>ILde zJ+Cwt=17&PZyGt7YdlJvoJ8`D5wtgY&q*x};sjsdma7~4`(wr}%<9O{KYH+}G(g{0= z*v-to;N#EK+@c$jFPaN-qml_z`5*Lh5o;*uS~5SxtY`iH zZhCU7$+^tXM#xYy$)d2oI8A~zZdD_e&UZ{;-2M~h?F=nm%wv(2y6f>{f?((6G9u%x z%R{^*^5{zW!0_k7qgY?mOy3O`M8bZK*ZLVx_5TX)aCfO|QnBF4COchBwWzJf3JRqd zoHxU*Bl22B)fsL<>>t`(GX(7m!c;y6(bPw1v~O5ih{-${klcC}@xXaMg4*c{a&p^! zk#s(B8|C>|S>qoH7wB*Yf{Uiz?YX9Wt;Hu#a~2af7z&By7qG%|X!~&IN!|IsN*i=) zerUoy&#qSWk#za0avf6K2)|;34(c2%+qhwN1kX;q|L51_7(zpk(DTFF8O%~m$qC^j?UnF3@O1{N_>|n-DptL zTes}RCO#uW$$n~buCJ@&Bp4Dt9Pftzh7etGjr`vpw2_cLMPEknW3aW8J6q?4r&TD| zIWFvl$fra~*a1;%GKYh9lg&$vO=d64x`Hf^JjG0T4?6#%g8omQ7(idx+C{2AZK)8z<(E1*hzUgJ}w7Yx~j&!Qc`FoZZ;$yIPKJzL%Q zz6NY*s+2@2zb*=ADgqX`z{JkI{{tZbTHcqx)UTg-7OJvP;;i(7isA{Q=IDrn1L@hW zGVHc>DV|1uun@+besaQTjbcaX;ZTEg1+XqHKRt+ca#SCCpWSekWF5{E?)WG(55;V# z1IzIrxu=?CjuL^227IhPiM$C=Cz#Rn;yN;8Rk@r*P6_#2?ARi zSGp}2F%w5P57s}C5*T*;H(JSBZ?`Npy%QS2QP!GlYtnh(FyzT0Ps zsfCTnFA~+z+yLij=D@S!edbk-QI1~#n`U(RGb?os^|>Iu6co%pZ`=heS#d4&wbRf$le&Q_62XbU!bNgsrhJV7%U>}3>U^bcqM3W2a%vF zHJeQT4V%#R%RNaU)i}XkXnYn~*LPM5z>DFJc1FU*-!6k(aOp(PY;;8f&0V4|afis#{vV&NgPOCF(@zpwfizF-f>_X-Bc zT(y{@KZURU>PLpB=w`6y7s`S0rhhe>A2!q}GEr_OIg$E8CcSmTgsuV$7LlkK)SOBG zh73#EymJHqTa0pFvX?Aih3=6Wp~)OjMRdrHYpL;}{7aTM%TT5PebI2=vILwT(3x+S$6%xV@#L_{A`ce|xcbC0d6t^&vXvjT z!D+1eIL%ezKIyBU8LsuyOCH?9D^!J>*)=ZzP5xZxmG?a2R%ooq_zd?WUP+8h4U6=kbIgRk)psOu3z&V-ivpij?RLt?{ta=c+v( zcH@z@kDQWMdt(s1X=It4y<<4l4czqJL6SL9`FlQ%Qo!eD@S7%j zgh1SV4`=>T0{0LtfYqx39pj@xqNKwUeJ>?*Cf+|Vb zrgNlLnMN35+@*1FA1HlH_5)usmN3v9p0Y2ZXaNMNXJ;&ng)Ci%9aFQ%HQydyE#7uh zB2lJCQhjHfQbaZ=nk$(+wV$TL`NNLI^CjcJ+MZZl;Sj7SMJjQx>F7>nA0-#D1uLa` zggq~Fi++Cjn3duhlEN*OXWeN)iHXLsy}yhrtGL)VBmXyM;Hv#@+3)l+5M5gI{woN6 zT@VV>$C1_sM;q_B9NfM-mecIW%^p1N<~hw-F6GyR$oqZsd9ztKJmE0(SLORJwpD96{pf7mBd8*>P{q zKY+NxWwbP7JN(YN65*16+!N|d5N?sx2`=bmJ^>Dk9cnQCfG0DVQA)g;u73e9+;&Tm zIGh!v^iIC5j<;M|0|>8iB*xBTpu7VM((pDO>2mB$SQ+GOQy|frpZa|luvJrC^>oXa zxWJZGSt%))-xD?N5u$>k9Lih53a5`B0?S2dmO#d-HaQZsD2}knTBhj#Jxa6lrGWpZne($t(KR|rdLqDqaXNYjqZ8%bA z&hYR5;1j-I^Ri>;NhM#(9~En~v?7dK(2Q2^6yV^Q>6&Lom)L?dkdAfK^cN%?oZHNc ze_sKiJrQ@u$kvR_(-LMXpk0Moc=`GEbdoMgw7dp9{`urgLk>Wy`!$7o-j_bW z@I!`q`ucu6{wal=uDIvNKs0}*vjLR=qMI(vUYrFE`q3iD%c!NOmcIk6ag(e6`dJM_ zL(^cvVJ8vhF&A4I4aE=x>hY;{9JM#^0>U0_Q&nqU{s;Zi*uUwQe*XL@N2>lTE3CrN z3<7#sjSxDKqnor;q(VH9o7ZzVr^50j#V`qbu@RqV1UBr>Oa4SUk9uF;MZ08Y<`+K) zqX__UR;H0@<5lbFVz$A$#S?k9UN3ipN17WgB>lLS(?{KfTL8%<9C4u zYMI!v@3y01Aq81spZ@NGy|}$5F9YXx7aDErmCvX|^Xw?Q>1X`KPukRG2V|A7L{6r7 z!~5--3_BTnncIDJEU}8>cce20ihp6C|NQ@*g>Fc?$xvN(PQ0H6!xMr%S! zGuE#vpQV_zj*F=U+1n-FbAe|{ExuU8nz=2A2NW_W7Cx0=+~O-8zowvu29_;TyhIM> zQ`+vAMeT-6G25Z}lo79(3p*klqc|fc1S8et>cNpT8jTa17Bdz#X<46Ik~Im0X|;II zIrnwr1L8UAp+IudgtatlR^eYV62-%`-MWp)1jvOlNX|1z$XD^=m5N2%n zETr~0@9!E)3ZgYKIajIr#$GpXp1PnM;-N{Ai)^*1R4+5iQqAg8Zv_|%6q;`WrVi|m zaGwQpPgE;MeV2B4b(Xnn&wItNCI*J?7Vd~91*1)(xL5Egw3Wpo)f6X~>I}H6WowD+ ztb648p6B*C5v;fG5RwhsAR~Mkj--OJ%Ew(2ecp8#*jd$2tfc7Li^^h&`Rf7j%*Y0n zRw8|EIdAxEoi*b+t<@?8=YzPjf6o3eJ1Tl=Vl>~0rAI+BNZ0T!kZzFcof0#<#3LnP zx?$GT`)25{Pe;V(n)}-O7AspbABNz23Vj>h6q6)p54R#C87{>1tNFB0S_^G^ECT(r ze0MQfbc(^yG-eC4Md$^q2OWrLvgm=`KGGJ)NbV%c_r7_pM}SD;HBYp6J$Kd7u`ipbi#tm-2AFB~lZqVt( zJKYv(NAE&(99wD~OJfM)*)MCgRyH^jbE%ye$*-l{&&Kg*`K`U=*aygPHb}>clG=|Z zHa49FqEsw$jOII%emzbw*KE`;_9x&+)f@8~O;wASC&^9m3T!hQmtG_h5`Wx!7aOZA z*;tHr7&M;WNvfP*P*|H+Vd<HC1TNri5>M&B_%S+GHBJ~^@Lh`8etSuZT+~n zAndIf*vzqTrVsIIwu><|jn!qI$RQ_?3iCnoG5@`S%pZUWx#_hso%3H?{}zjXUYSdG zN0)M;U*AvU`P;3>%*XT7(V;bqSBf>+1wI*ji(5xB>xYZ?r7Y-A*DhRgHs5{-WYa9w z*GC5)ukn+LJX-*2$3i5SG$%^T;!dV*d&XTy7VDaSZmlF-5?`&0Eexayl%fJJ@{$ z!`|=Hz`Tu9*VP|(Czob$6V9l|&|;JtJ1~4Vkx+azD7KG*zmZ<8koitUsOSFleWNl= z=)grFhc&kSt^xsyUNp7ss2{dsrw z?O1LZIJyM<*or4D7uor6Oov>E7#R233{U+*CuR#+Z$-DL_Ws3GH7+-&QguaT6USrt z#EO!1VcVlOjnOS?f?ZU!1LizE(sSWYM|_-jHAme9_jea;o+?8G%32IqVygPQ;(FO6 z&*H{-cQfq}w)7b#+n(Cg1h7?MfnC9hsp>}e&mW0+lkn#wYd?fXaIz(EP;S?GPS@mL zMBj3k5#D8tq9dI14L#oZjnvHjkof*$XT;$MG#u45VR9@W8WnAk4I<|QA$5L4^R;u2 zrJ?lNtSph)KLLPj+VlRBNkonNS>ky1l)aX!Sz;A1m?)#jiXoTN{>oQs^OEXB3~FM! zewiMexh3S*dQ{35tQ@q0TGdFTL69$ht*fInP!;)&v)ridi#J*Nxy0MeDqnwQ&5iemm(W!4W9QbPR;fUzWA+^f+CyslX)p8IQtu}gWY5eZNJRP!`5=Z9nhLbaDg@2yd#=8KGFn{dhd>>pXN|A!!G9UqF0S>J z!G%W%9Q9NR`h}jVbm!RKzClCq*cGXp$Mevwo|56G_wx8s_bu6f0KWpIx~R)84nqa$ zfc+=vsWvRV39YWfdqAqT5q`vj0;VQSuGlK3LcEfEEaG|UFv*q3Ykg;<{?bj4V|n9O zO~x?p$iy-_2{ZheCR_uS^^{kN1%Kg_t?SJP{1R#fPF2mzzThFce}+=INp(0!nQ z9FtmL=p3IMoVfb?`_*p?a}WoLmRHA;xi-@c(IMyHM%QQ6T8r^cb>ZNp&R^Sf6D3;c-BXT`wOQ37kNE2p-t%Xt9nZq6>LopGKy2Rbs?Zh1T@c!+Q9U{h6FdNJEtO~E=c zXKj5-JP`Cbwl3h(fy0-22hrJ^5(l-?&+T3hob$=J5tT~lZcNbDY-N|2{P1$gpEGcx z2BxKeMi5MzP337l)Ib9y>&F9ae^9XQBv9vm0(_GVZG|F-hBprGFK#!{_9%1Lz$5rA z$-G@_=_MM`yR|&73GSk2nX>nm%WF##@-#C78UnXp?YrV-JJgzTn|CA%j|%sXyfPG; zMo}IUMpY}q&U)h3ZFx-GnJ08RIJY&ZGBuuvVZ;gjApYU9(S-Ez(yyP=(yE`b&zpQo z!?RZJn&3D=psKK`1V!^nbNAd*(hI#jAVe5!b#)$eFP&a?#fjwGww7MsOMR&~X+_u) zN>A7L$eRM(ovu~r$Hy-gO@H))Y(G3xuuu$nB#6l-?t&iDbCorBPa;96b*xQTr2qXe zejn-jbA9!cc_HBG@sAI;xlR~6;Mmq<*2bbH!XmI?;4U!jS55as-zUl9x*sn4N`+V9 zhSS+m5?1=HlO#;vr+2p3AD`i=asbQDCr-;JZS8l9p@rMjo53afw{oip6;_UL_gFD^Y9h8is?~rNjAMHi#sItSE0^)J;J!3KYC~59HR~%DT}zdxVez>OQZ1 z0AT+l1uA>`GE9Z8xh@(*nOmi+zi>rl|73o0#*5qkk)cCEd#4r|IV3eFbfqG?{4wTr zJTFT!tyvl6*+$dRk00qO*4w^J2m#@>Xt`+!z6i_n9H4T3hzQ-|C-OEegC-d%7X8L~ zQN?Z3qL#1{uu#fEe(dta*Fi@pq}3)HnkrJhUai8g>nLU>i1WzLvVv)bH|j)d3Kxw@NtT|gj>36~#n^5MD_pXfB=uX@bxq+%rS(`crPEI!Nd# zf}MbHT{+?8q;*%B!d9zd_o=~^@|&@fg{aHzcR+o}o#xtrzAb>RW~GzuQ7`ZS)df6X1Yg=m~dzyKyBHhx!@Ah zPU!3F!GeP{3utjb{O`$b;Pr{(xSrejot>utX z*GZ%!JH`0kY#ZeV+atPLNyNn-73YHrF;U%kF-QXiyEqQVn1L^t+wn$w4F_eCIoDsW zbN7-;gI?Er1@rO6Ru_J9dW#j|>8N8{YE{_~wJ`$X-V6=s;q!?Pa z7W*J1UR>Vj-9P;U2w^~?pck(%Ac*Us-;yTSv#y&_Ehh86WSXO$(@s~}ohOm3*yVLc z#Y6iS7O$EHZF!82{g>O;PGdNSHW4*mFtmhWSEo6pgVw{f(nw79`}S8h%#%WHZ{^Zi zP+7hK*f6p=+@E@yGhZ=P!s*M>Mt;%)JfRi)9{S~*A(|ioOEqk-|CQPOLbSU9AcvDFTe30Ci?Yg9EW_z9)8Bf<)qqXl5hrK3!7Lqksb={YI+C1rP?V>NVjY5q5xWElHy z)YXy{a72wFYg2Vy(=ksbR+7-GY{j830JQsuJ6DZt-C1^_HCQEYx)RtIqT-Uv1E4Zz9V3vBL-dl@&^J z?>~?XN=v~6}}(jvbQ-=7K=ai$a+t-;J?yD z4_`j+4S}6uX3G@v&3dTdm~!Bje0DM;F>{w$c-vbe!ecFrE>*~}*G}9w*nCK@nf68( z!Tz0yOcyO+DfW4Cf8kY~Fukf;U!y^U6&%MZ$Hwd!Km7Wr-$SZD%2+~g<0HcHs6VT^ z0Rhp~eJyI2I5AiG%qk?ANjDZ_)hYWG)|ohhP_H`DO8pmvxpmym5V?+_zVrx-*gseC zxgXtIEb50W5VNYQWQo$kT(m(`;ASFtvR+04S&+U$xxx8#5bl5D^!Tv0>AD)y4AnX& z+gSipNO~7ih63bdBeCVQ)yWjtzF-@U6oyq+D9cKc>7@%g2U=o8{~Y$p2r1nwBMkTE zL7PUBH>i9)BrA!P=Z4A3OI0;7d$*@@*JU)fG_k@Dn;ciT6=bPZHgd)d_GK+jV#LET z98KQ5uA>VedGt_(vj^3B^p}m4J@XCJG}I4$eA)k@w^;oDO8?)2lAPV?XCn}23jb=m zrZPg~(H_lcj(MGlhh?+!H~xj9(1YhupDM%~-Fe~9(r>^KpCmjB!h&ECfv!=lg;!zcb~WJ{lG+inUl_CG1G0+PpJd0kVZt~#tI^3MNo3CA z`Sx|-wQL|h9!{drd>naLA%D?( zETq;QJ(GwKzk->3NN&M^WkW;EAZKhug=AVw zf@r{!3`Ga#jEU$lS>przhopP+LRvHzhI4d-up=#bKj`jWDF8M zjA>9ic{YpXCbVCV%d_O(jz#~||1Et`L7`35V$TH-9#6tp}k*>k#mA!Qb2 zVXga~vcXP%zOgYvFHQHNb!9Nka|GG_`LoL)jg^Pycn7QEP-NtY8$XF60MdquNfw}) zSi+bR_MWr8f?95|gWK`v*vGKz0k1cRaj9gkz}ZvyGb|(aCCGsq<#KWUgg5vudD$xs z-&-Pk^x^b`qd*6gPgk>>GT|FQ&#?zU|8pX#gV4p9>>u^1|3WKZzxYfeGob5}CC6{p5V7>nX$X>8NnTxui z0!B51V3f+*_P6h=Yb&l2U_gDK4A`FbDvDB_@flJW;J}#x8zsBSQX}8RFI)iC3hD7V z^BaT$zFo&0%pcE@1#6H_YML=T@u=#h5=ON7x5pcX6;@b_3(*$ql}OOB5vn7`#OBo-x#3Ar1ZV9Y z^vT+n$895^fde5E=#k`#p*LDEYl=9p=pRE%UH_rMzSTrgWUwuon8}m*IxZgM=DOvY zI24WhA_`+ik!ss$pK!$_{Pb@b5ycs>m_|ZVq((*TMxG3te8Y^o%5`3W+4GCMkDTp4 z-wMq4&C#lpO!X-pbLz6A*Ai$o5O>{SMMB-~bTyje8!8Sg5z2?;&CDA04-H!~KhWBd zX>{)Wtm#$u9l~^!m_z_yFD*AGpU9g zpZ|X%?ElZi{{JVRBQ(kAL_V8D19z}%Vp8R3>0&Rb9()u`-TN4IV;}+ox<4VLbt#iN zt_>s%YC?}f(TzF*wm*AWb+;dpnIw7jF=e|ZgKTEzD!$88s5J}iDmatZ?CkLXHs-ey zKV)J5ozp@eBi3)ipEKh45!`u2ipa#~vR&7!{(VHj%K|a>3rv8|3*+CVwSNFXXbrZU zz&P3(I5tg}>tS;1R~vg#L%X|excWG{KVeSt$TzQeayr_cZcWV#FMh5#fLWuD$;xEu z6*l%F_O>=~X507Kwx?=R3260AP2BYOu5xVy@gPU+r6}5Xx%Cb9PqG1Y|Cr$^$b^NQ zFn{Y(6g?|!3~85mt4c47usLEfdR;iWY}Cx+Pwg^`z3}qOg9;`Q32+~0UF}skSu?C=!a9_-)#}4%?sKW?m}2_zupaKF zhRkO|OQH^rvZYJoi}$q2Y!LfhvtV)Re<6=SXe@~}dP(SMdNqdp)EhfA(@p3Ia`p2s z7}Zn*xc!?t@oyC4bPkojAGn{8DZ{O;0wgM{WX@k0!JiO12Sm$gzt~6;jBLrIZGhz< z5{uJ*wL3hw6kgqYF|&zGsX524s5r)cS2-q*Hl##q)61bpEPo2A_sO;v?q^P~8Aiyh z+7r)Qm33*^To{@oUEpjk+blCb_#H5e822gZL`q$=Ua#v>Uj2WU5}gRL=#vRQTch4T zmkNnlG~Cge+X8`MHW$0L&;s1JK}PS!0lDhWR!BZ4uO+;Rgn1V<-@*@VEuWadci*h~ zx4i&bEHI8b)tQVtKyge7c+HYoylFT7@Tr6!Z4Mw&oK%|K=H`0gS(-&(_Y^Vhkl!*wOGx(y+lK1Ptiw78pUEhwL^JN+$ zs*@PHdIwY;|9Qf-zcEKDH@HvpwnvHiyu^nGOyeUh0s$(OaqEd?`Y%ZjIsOmk-Z@CJ zs7?1Rv&%*ox@_C7>guv>+qP}nwr$&Xb=h{E{N~)bG4q``GZS$!C;!R4cSL4nta$g% zwchnSzh`7xscxpp;y-p?nDMMkvb2c}sEa)Tu(pm<#H0EcEpok@K2XL$Im;a}s4oTs z^DmOJi;g&w795T%;Ue!m@0-lAV?;w?172f7JnOeoPZ(ysX`W*ToBUr?2= zHJ?sxqyKn#|L-h^|6g9`(Y66oHD7-YbBfYp!AZ0-e`2qCIQ2K$o zdjZGhUu{-+{yvx<`e`0GyKJhJjKMF;o@H!&0xgNeP~2BjASKP`eqSbq8rBS-0iV9F zN)fINo%5ExPisS^2q=QKo?x7brUt(aWKB+{(2?pTu$-@?v)_W z_8R+gaj56;g4YuDZ$3tBjeEBY2!Nnl-dd z7IP9B(srzOBrjhF-ru7j@;@`r{F9zo;a`jd{r^}d0bs-bspSY@06++II_E(@`hru( zDq3jbVr^`D=fKkk5u&PgC1wl=h`i@7@-Wr9Jq{$i8Ej@!gDt(h1}j~Dj*g+}oa2xn zGL}iIwG{$lgtKRvf2q+~c*LP0lbD@90X&$b%Sd+RsOQDsw^k_#J%AWpe_EuMMO~Kt zep;leTIOadQ1j%gTYi|5w-P+FIBLp#)z%~zHh+;Fup@GY#YGU_HwVDjFqQyvM*Ly3-Z;u8=ZNl5+ed_%3<;>XSAM5YY`gYQxT7JX%T0 zM#zRti#v971mcA63kRjifH@N2I#xUX6SAd^5`VA@taFn;?^CjIOxp4F@w&LVIDB#`PF-8{5!wRo6f9G~Dv-<3%TPsC0LO zfef*W_I|q0h_v+tCpJzk=$lX2QUIbM3!>8zk#JnSOJGOHY zO1Xq9sw8tf@3S+ExOVT4Y)EzaE`SZ`A5dQv*a_%)v4&jNFa7K-5g}JPq0oUSO;(^8;2cKQF{rHA0h?4DoW=fAAqvx^Il8 zUZ_;bz@0F?qzt03YcgkOv?|7(^ zs*i`#ALDMKnuE-0B=>Pby4g}NW^hB%lo?R%V(1~*WgUhgfs9+tlRSFP-xi6ymAv{p z-erR3w@hz17PFi*GGv+tjH$Eo3G(6$%&QzgcQw`t!txAlb|3+rU&-VGC9EpJ zv=wP+Y@Nvsc$5m22->UfJH{>9GR>i7WM{&duPovX&7hm|-9)@p1F|wO_$=OWGq`W@ zranNk+St#}RUcP8B|GMu_;9w*+DWV63vArKbno_(nJ|F#0z*4*H~!&fyrMin6EKD; zAkGBVx~jVAmSU3Visz9kS!_JBg2EXP>pJ=$(-koOgQ3d#4@N@qp~AwW>o>cN z6O`kLw4|nVJZCk8`>zIv1wSo}!HzB}h1;&HihT3gGuG#tBLrG30|r|NO!0`BpA8aM z-Fk~h4^zAcDoa+5=OhSrE{p@n36TxB2#YH!`!XS8`6mu%>dxhF{%YfKTF}(ln|cwH zV@Ud*qZ355016dV_RTT?46~obq|A^elCsv&wwAk-7Jk@|yH#ou8HxeKs33aVjyJa(7{$iV)4@GF;ql}M8h`iJ1H zzydnvUVwau8Ch$BK1lUtql+;C9uRK{X!>r&5;LqJK2Fo8;L$gbbbWJ6_ac#VXLmS= zWLT1Oh^|IFrwP_k=k(ju1zk~7vbdpZhM9+3vLc_Dya3+r;$ur3gFD*UQ$)eG#oJVK zTCpf`TdP$mRqdiekZBJqGG`i~Y%R(8?>Imu!P`tm{_PsOyTFt4Q)rbBdZE!ldh zy|ajwPrnN%TvpRbcirNJwbs652ejG&GA$e7X1M@RzycU<?Kvo-MLgdp7%Zy5{tB2&yO^r@i6VES->%*pGfCF2cC@z^a!CNj9(G3&K z@HpIlo(BqLLb@$0oBBvtJT0BcpIw*Uy9@50fkOXo@=*qW z{v&L?#K>7_kOiZKlV*EFgsh<=mA+<8!vh`UlEtYx0T?Wcxs4r57e!qGG8uBU^y^!i zh(r>}!f`?gy^zL?u7@V!;H2}j!?y^*SDjoeEjc_*f13_@otm}^Qo;?#!2J0M{GkYZ z1<+!&b_T5sE|WP)a~w+7&)k!0TU&eD8k}4^*Z%I*sd+2-0&}^JRU-p%k7bg&)gj6^ z$~Ac})(h&3%b0o{sYAGU#>NVb^wb4c{!qPSysLfH?p+|~l6PNl8XH+MViu{7WZf2y zO9W<~#X+c^e$UpUMAnQv6cge*3-@TBhh08IGbaEu9ve4B@#dM!bKSZ*@+z~s)8xgi z3F9x}$+=9V0pNhg6N`Vw#r@0NgHM_K@$nPsKOHc6*N_1hz_NB@CVTyE{SKdV{c3Jv zh|$nrfB;bd43VE8eC@@lOu)>gVolNmB8Rm=SFfqPl|VFsI_y^nnJ@gL;qB-Qn#DeA z-P6QR6q&X~D<>X66sM-n=30BFw&mURYGn^aFHlye;e679@>k1O&)#6hk;T6R{@`-U zaW4?d7H~)RDJdG(@c>ozAiHuY-V}A`kk&mpdAw{3hf@eOdT5xbi~u*RqC~%ND3Fk>Wf(cMZ$M&R9dJTdp_8iALZ{Ie$v^`FZndQKoe@#Z0tO-CV=VvaO( z_J5A3-=9fre^sK_$+Qb-+yLOFD%ilwdJAfmp2ewbsebD$?2J(Now--N2HUk%+sqSb zyi0`^69)QUxGnR)%pOHSCw)iEZ+WUOZrf%yhb0K+$V^##s>Tx2&3v?&x$yw@T=)u} z&$E*h294kCP7{CTBZC8lhehxy4y*Z3AAedPK2|sUJD%yk?R+SCh|e{_dB{t`{aUNG z)R9IAMxFL7yD-td(3-e%UNhss(W+!E72i!8M#QeUw49+vL(JL2BVcJyP zs9tJNGp3HS8r$$oXsOlH_EJD!Uo0wzS?svkuG7oiuwZNVTz>P~3}z>d1-}=^Vuy@p z*a3iZ+aIPhL~eOz`nW8nMZ*O<^sYzB!)P#aW|@1PA@U_f2LLeXs`dbrTPCX(yQAG z7CUbG{8A@J#R=<^f(0w`TG4~Ch8-&?XF%lT86P6kG5{1U@4CK~W~2-bgG+CD8{@fB z;K@JxAp+^^N#$0xY7R@xph>g2BG-NB(yE^~b|pr{W13K}UC`aky$ zAJBqZqok#8purf#K0Paz$r1T>JzRzqOK8jlziA+_6%Or=y(k6wfCH7##&ZcQ>Z=+S zBHJ>|$yAAE|J7-xfEIuns)pFf(7qa`7J#q|SNX>se!?0~Ii2I?P;y4EwR(wx*lIc< zS`W0jLhS$XA-@P_taZJVZ_LZCbPv(XOL9|{@TohC$>3EAEqKX!EX0Qf?qNRH@vAkz-glq)+NIOSOrLx_xZT5 zlQgOzH)q*5P?rGfG0?|_Ome`+bABTH{^#oaw@kG%MReVAXyRSq?f6DV9tGLCN7btb zw;w9HXcFl3lWk`z1iSCiVg^!F9dIY%Db6XZ81m{n8WUD_SOY_hsE&ZAp@@)H%utZs zt&FA_DBKDbc!*A-%1=2A*J5CW4633!jpgQ4b?#u6%wf-FTSi5;KL?qLXea91MP=vs z;Eks&WNy4Ol@h4@qDTgj{HZYIK%nvN_7cq7aXlr+dOF*ZUEe@d*&cumQ>_03L8jcx zD$2_@GImzXvYQm9hOXn4xwy!MH~idoazjKSjN+-Ak(k!914Mu%k=YfuTab4^N&?RA z`KQ`p`rc)=(CBFkW|l7|&_7=6Ai?p(Gr{_5+Ugp4a886!OdlqB{mg@^ z^vd!$etRDs0k@7rS@b6qQLNWVe(J<}Nf^NRLJUymHNlCpZ`hM;EsUeS`3~+b8v(2# z8tC1$w@14la~f&CiJ9#LTdxU4I3B%cW{}G8C!%) z(YB_VW-g&|b5eoRXx{(@n4Jik5sG>GQB}1BZu)YWM`@>lIm_0-xU4(K;65O&76`45 zE}~O{H`Vd9Q=oiI-v9|mr7L!*))vF~!XP>oVC@|F$CJlqAic01R$C`Rrcs2Y@QLi% zk2TGJsv~cjDbwWFmjPL3!m- z@?2jC)7r)`4*DnjGBM!y8Ungy|2x7AFiThE%Aa!(8}guySb9i>DIpQm^*Q9M!&$(G z!v9@+`NR`?Pv=Fd(?Sn0~ zb~2R#xaK!W0GFO_L-P6f*Yw7brQn9cQKkvzG@Ppzs^U;fTM`xrnQeYJ<&^*bgW5*; zUNf>bba1pc(zAjA9NFlb!!R-u&=dUQfSa3uPSniO(a0X~ZmH*JBy410V`xM`_s7WE z#L<+1nU$4*mlx*$`n2nMki3-52K|q&ca;-z;0DSwjjpDR`l?=d3%CpVhh5Hc6l!fo zpWOG?0%EcgcdQ?(#6u%uymGPGP-yAj>XXc>6z6Z#Wq*H**XS*7jx@89_%=LKu zhfh29t;nAVfEeY+@ z?AV`X&*U)bWV}y;$5tBcez0Y|Jv*BKIvl8b3*JdP{v)5GcBaDo>ctJst!lOqD~+6V z_rSSryhCR&zx*3!rIJ-}&Nu}$T>8E>SM%p@{$s9T4Xb2yIXa0;XG&?dVdv#c~VEV6B1_J>DD;xd4)(Im42MYt^zn=Z$EB>{B80c9znEzb~ zMKyxU;;y38goC-bm~OJLgFS<-?7}figGwD-UG)*V`U9>*fk7j0LW99o1JZHQxR{>5 zbRM;xlw4}MnzI`KX_3kchAEA<%%Ec&{&^RhxSIVyW=3$^!#H>c)g#e#@Vq`L*QAsQhZIeDBAm0;fkGu@aOX`xNOSHw6o31#)m~ZEbP*!qehLBT_LKv-)a z+XD-1@}v9ifPyOe!sqgl9q<=HnyPKIeweK|HU_qX5)$+_^lcC0f;qcI+k$KO68IkP z14#|B0V$CozQ`Q2`Eb?(e{tdfu|YoXoPLFWWsO37h|$k(ZqD^VLp?PDYXDPQT?hav zwXxyKB9P+%K{dZzLN&VBc}7FF16y7A8PCA`IKqRCN|b~8&VYWgWJhoe4nv>y@97(S zdk9bOm}SeTp<5DOp6mjF2x-asJd+uK0;loecaR}j zFG6gvcle_kec8LQ=6xA7fCvD4YHDhN2zCO+G65axSx>!kbtRXeoFK%%x4gQ6=-7|} z^kaYT5eal~Bxmmt%3EM(;lO+aH3ho2ze&Gv^6VWzsVyh-fS6*F;4mL^E@q5l|K_~B z-Vw6m0fAPVT-t%Qdi#8RnLJR_!HU&(9rIoJY|@qZHzt-BqMqlVd{0S8aJU0?uIb!? zth&9l0t@>40|E2W`d<5($bqhZEP=1^<%R1?iuumwO!4N-`(Qi2pMRx&t-~4j`W(yK zd7{%m^*+Q5!?nG%PUiHqe&-~8iH&~e^!k{*_LzP6;KVyLwR{H{zXg1M&+5Fho#&F-tCka~4 z_U0DU7ZGX}CFxvEoZHhA$mZ%;sS(KSBTo;A4009e4Hv`}Zw5p66iVRJs{o`ENV;gJ zm@f{tn!y&msxsf$k-9;!GzNq1Wsl zaJX@$BMa4gdc$_;LE1MHax2qhvVN?ufC+b5zanJJ!`F(rm$B#$guo$E! z<`9Rtu^#)BVJ^Yw@^pEtvhc9cemZ%v4I2x;!u6*R^{D(Jmt{1`aNL&e=`NXip=j{e zQoyr-B#*qSMZNn5U5-bBaMai(>*8xoU;v5;WV{n7D*54sIx-h8!Za_ZpYsh(t=8`c zx9MvCRC91wwyV!OJSR{i$pXEGjWaH#kD9rwiQ-j*CW9ZKeABbX8Gk*AiUk7e6$l!3 zvt4zkG_|D;j-9@WkDBfzB1Vcb0;7dJN`zy3L{7-R zY^KNR=hH*>)A%FOU^C3)rxMD$_NAzoHnp zv5g+V^EDRamVBVQGMyR`1RBO*3F9RsG+VKCww$Pm#jIR!+TV@~vqF`J&ImTIx}JD3 z*$MC%n41cbiZ*RPnog=Iby$I3m9im$zH7V~tsBY2E1|jhud$1e!-(J7wMg0S;TTj0 z-s!Lf8Y$ZiuqFQ5$4l#nnRcRbpBdqtiHU+)YZK-euVgN&omINn**on~k#cq5&cYuN zGp1JD3Hc`fxOJj}^`DX9Sj>$jyY3e6)pzAztEAq*9(&iBzO)tA|JkfLY=#F})^r9M z6Y1{dA@=2w7k9}@d@}HhIQwnDJZ1I>Lj&A4P+x}SenOg$ybYAasa((NAS95_u_hUK zhNiXh{kZeYAHeMC03qvT>%9M=rV&8Diza8)hC5sx!#h^J2%Y3r8I z_lt=fa^8yLGF>$8)QRC=SjwYXlDWO&)EsLB^Mz&L#{A9j#&XgPS}GB%&z!&2Rhkv= z&CyU(%T_6>d7*l2GGh|2WIgouKNrW-crdS<_pw}Gx}>tGO{(gCg;kSX)y3&sX>+S) z$&;tZU^bT#cyW$!7gZvyj8Uikx=S6O2mNFwbEto%zr*e#1GS)&(rr`GG28XxU36JVxAZ1%0ZF|^)b+v0|NZ%wN8;Iz_CdP6^$sla?WSEO|ZbJOTk#!{wYEB7ei~emD!uV8@$!fiNZ%w z7TOT>R?>OS^>|u$0n=VI5{=wLyw%yKPFA#FT>75;f^Lb>L9jtcbTt@ ztMJ@S-NPDTC^ZK=xqt*%Dt%~hNL#H(IL#Nku zf%e?%v?zX*%;AS((v=JKF(z!?-QgB~_%eoPeZ27-IZx2Ar9V(@Dp&yZhbxrjYqxFT$>Q zs4K_uc>02J?CKebe!E!5J^W_}RY;166FWNJ-o#RrG}^t&x9O-9vE*YLP%bONfD~U}i(GOb3s7R7>%o>~ zQY6?ViM2tPeSP=raLx@uSvX6rt=Q(@4rmQl?n#n`5MybJK2M*INYF2XmDg;Y=xNM{ zaz!;0Yakc3)NaPN6UHL4+H>QVS>9&valAa*`IC|zRZRBNwZdtv)DDSB*qjSI;hcR! zDo+#Q$?G0-2F4+ty7Fzcm&4qh2I<3q(}mMI11MNGwS}X)TN4_MNf?I5{eemARb#is z92^97OxTBtR#4>8h4?tX;#a6y&!(qv%uAf{Ax5_(zd_bxzy2U=@Ie-880ASt8u=sb zro5;#m}lF0knZIKNrg6@GDNTZEye*sNijCbvu=a&cGb!%nRe<8N+wfrJg%o#o2B_k ze$e))qMG?bH$p^?j6wERZiqzlzN~!MTTui(NT^7?u1}jS>Q901x{HQN$*yt3NTIIR zm3jsE=pqe%pR}A2jCnyVw+uIs0Pcxp^(-J)#UR zKv~mf6vh!f>^rXk;@Djvo(lc+VwlGE=n3ez2VHi-m{jD(C}k$b;^PO@x>OC9FP_<4+<$%!{d$-N9b%s8`Yl)k5|3*hMzcN0F1qDt0R{!G=K_Kw^*t zUn>dd5M#};qKd(ePC_NF}hnx_d zc>e-}aDdvqg(RVhZ-!;e^L?|Qj<(3+y9$8hZ{_hw;Vj?tLca>t2Rv5ccv{9TAzFO* z%e(_KElic9Uw*j02k8sRVM^l0tj>NB8i740Jd&jIF=^w#`DAPD__{bOlyi%s=VTkwAYy+mgbwm@Eq0aW9fU9 zX&*Yu9>mxc`;HJu-ycGBSUa<5rf9_#d?`VM9gaI)>#*v^q`Y2{#aINr2i-n7fEIq*73kyB-Neo#ux47oceYXBueqX%Zhjph6+qFCgeL>3ZD83 z?uOLz2u^xGS4?X(Lf_$0jAl9b9*bNqI{{_bnB#_k;3)F(5baS(0>YKqJ|LE&zsB>k zw1OIm#;gIfel758)PG3!2@0T}8T%`IMLV`SxKcvu#91IMEh&{sW}+ zmrl;4gDw#kV>eAJc4WsaLiqSlL{VsD>f>4;nm+&d6=ttyQy`SC6*EL>%e!C3DzMGV zuO+*d7RaNFl zK}fveUK=ao-u}+nvV|;NI|)Cew0K#yIVrq|=4h8mya#X6W!z9BOs(>0?jJDV{^-xU z4D&TV1V4&L3`bM2(dTSkr149;WBaIFk_PXjL9NPi8G#@2gxfE@Za{9 zwZ$DEt79s~!RJt?SG)c9cU-ge4INH{KwQC&L(o17$K5O%1=mB>(Ve7)fjIKv29a ztUEd9E2*b0P+ixWp;XRbX)?hW=>O3<({kb}j_C5NZxlUmaYRjODW_Ijh7o%4fQ}WN z@=>J4jwm*=xQS}3>rkn;q%xQq5!=izv4=cG_2h4X#`xAoEF?C3+xnY+;OihVg4ICx5n$m@sKFD0_~@Lj*j$6D=jPTV;fn zpz>^PY7RqKE!D{?T9Ttr+zaZh--X~RqQN(U{q*#K zjhPQ5`%!lOVvu`$!G~*5>?}$5%*9}3Jrp%4r8M?u!=}F)V_*6z^%n09X}V2!5zmOF zJx=6Ohlif}y~H->Dz2z2%&^q#7L-JO14rBSYp6yg@lwB&TaZIq(VmOO$;}`gGOmi> zesS|yB$V)3X@e)vD%nbIU0bC28R!bDz*qXSy0Q|VE|@!27|5r@|4)T$_K zL$Uz`_zW{lQqJz6RP+@XyajBjlg|6vlA#$dsx+@eh2?g8{o)fPKJ!Ub0~T5;9KY{J zD$W88UmWPME?~@V61A4CmJNKU8BIx(t=O8sH{g;C_bN4~JGFR9()Ru?TUTZ5k3UY+ zYocI#c5_})Ub(?n{+XKmdZp{YNm&H3s2l#XFgvKJYgwkw0x(8)sT--@AL}ttFG9F! zy(hByCG6W`aqR8jNL%x1cBx8G8=ZvJJ9h=@o#ZA4!W9feLx*SO^+cdBvMCCc#F^x) ziQunNcX+j0LT#`MV**<5GHLz6w=iA;cnKN9zY{)qd@Heh>Nr~em?#iZ5}n$|6%rG^g&f756E|4jkZgzG z?Nr)SXi{=WHVARjidcTwPBg|t3}rM;NwpdwN+W5W;>WYp?5LN_-(z_lM<%nUOL`q1 zSGFffnLTs~(9)L7ke^GIgIJ4Bba5D7~ptX2S=!Ezi=@(;@sS+-L0`gOY&! zN_*=_9oPOs)FE?P6dcVMh(F{HKf?OdzS)H=oW7xs-}8Li#OS21=kDWAw}!QY`+X@+SdZVbE~3Mv4@AzAG+nSrKF{%7;H^{smncCV9%KC z2xH6S39Een14C-JlbZ&Uk1ON;JK0GNaT2LCEo}5HP23;2f{1di)@QzyYvhj$ zO-?(%QPZlKAJFP^0@WB}_ZF`=_$F%mxJS~iE`i1%&z4cDK2 z+OH$iXlqa`?z~(!qx^uzC(i`&1kQ&zORAAv#@{|wKQKm|=^M6>X*xOSmX3d#$$@yy z4t~h|8oAzZVFXTetW=XANqdP8eYDrQY$zp3Y06?)bYS^&PJR+Hr?Cwh<8L{XUlrND zZS+x3fPn+dugs~4FMhJ!-qO`#&_o2Aup>SATDKKLX8+*rM%eYi0g&Q5Jl@s}P>)}SIW#SMu zhE|fcQCUM)B!RmucQi;H_Nx!8Fz#t83D*kZy`qA645dQdB)5i_XN<8Wfld-0f$9+4 z@y)Efoj<#e)T(~y>-CHWwx7zduplBii%;mmQh(!KgJi_a+bUOtclxuE#Mu&5MvX8N zB#i1>DLjr=6{>v@f071C6Am~F7ov3rSQfS68%{eAK2@S9Lon9Y-Xefc!D+b3>?LQx zA#_G$Q&zJ>vYxcbMOf_D_tJ<*36;Rj4@sUc^s%096~XBXgIriOO|Zv^mFpiy&&P?v za|~MC?(pm-{gq$XVDcmQE%3}5b(O+1SHG`$Omlu*=jM#t+7Oxx+itf3{kRse7b>NG z(AM~l*3&Nqom_$EUhY~$N5NrnvTDTOOvO&3gXv_Tk}}NB`YiH_8v&mo!42ldM1pKM zR4*N^)4t{xxi7N!7H3B#N(LV|Cn>IZOT2V`T z=tU5sXwj6(GHb4keo)$t=x?3u#99VpCzYzKyeZ9We*)F}>tD+TTW@H&e5m<8yNEuq zxL-LZ>Y=gfIF}Q=zYQtIQekzcI`;}fOumj1*`p}ZdzQx>yvf{oaF@`f`M+WHha>fY z##}5JZU^+#tPH)~ZTt;`MX$r`UW?H5DTi;;eY_y!qnOL5&S>b+~PybbVGf@1)4s#&QhL1#dko5j}AFPch zRydLTsES%R%XQz$4cW-@0qKefB1hg@y3;sB#kC(d!Kqi}MwUmp9Z!wpOcIG;D7Su6^#&i;?l%52157EbOIZz%?rF8-StSK(%)dGd#uQrs|%6?YSi||%u;qr z8MkYqhzov`cJ?X(gO7P@RU-GVyW@HvL*}v@wfx%C9DEjw;rj3{^_BMB;2%}GE~ds~ zVGN^LW!hN5CSk>8?OMs~6ZUG)R(QtV^9t9IeAqmvqttO-gk9OjUxLkuDkR|%&cXiC zvHwGheB;HTG@@^Rd35@!9JoT(pt#x7vc9pBOma6PK8^^`%*TwbP}E?*PM*oLKdoGd=!vPW4c3i4>U- zuk132LFOMe!$r!tC6@L;zf)oyXwQ63_goc*Q*k$idEgb)*)?>5Az{Ia-vwRdXE$ib z<^%MMd?6V~gnj>{#%Nn1T z2(Wg;I*;&3)R*U&4JX;ZSuoBX-^gyAA>+eu2z8!K*7U>V75#tRCYcG__vf!3ii4h5 zDrzB#=Fi#dKh<2FOG>@9`V@D|p~@j-Q>jnDX!^4~i5MZil#h16wvm78Gc)C}Ghb{r zTT14I{>I0%KhM&S8QJbd(LnzF#&I9jZ+SOZ=zlab!UgW52|gYH?&fi*Q!WSmq@<0J z)b@y3V}|rLb{eef9Lu|vGo{ktaCNzC7p508JmF6hnS)M^V+?uEJR%37M z!4|LI0aM@yU#TkgItgTn%=sxC8P!w8P=s3&G&QQX_{jw~Vn&a1GyQ((kgI{rQGyYV z3j`fLYdm&XfxbHWV)_fSU4~#O_&Z#EB{Fq7zZtol*dG@16lDpdYT_|x9ot5K2D8=+ zdZP#7X%^DqZvvwSdi`PNkqV3)8Z4p}PL0+_^x$f0|SeET|bWq`ioFO9qIL+F=T&cohTY;#@Qr@si+%&fF zl2CRmc7ni<#phB+-HIN7-$6RsoTB`O8}9@Qh_rXvXED=RH!&`jt_&`}^z`brZ)ko#0D&Du>1ssPUzT)iQbe0t>cn@X>-Hd<~pTg||= znYzcR>c;qfdQ|b2o<=Z?C)Th-$Y`0J()$#tz*IPN-b@ZhDdH1Tp?H&13c4U@gPzA& z>`6!qzh!X&J_%i{PW9?0BuTf@%GkIHXWr$kuky1JanU-e1VxlMZb36M49QUX8^8wX ztvD;mPNXPMhMRj5O-#(hf2(ORy4O&`lse&yc2qw*>t|QjFG%i=ERHqEU<^&e9s5kh z4@$_9!3j{yyW!z|Ae(~mxV%Hf&XcQ^AR_q*ro#Zw4$IUdaFb;RI?z9wjV_0^hN^57 zM%|F4 z4Qsezm*by_nl7A)ss-HhDY8i-0~5~3MT_gM;0byS#EERY68zTB-)s<^8q@F?=ro~p zWCtuYCr}nwF+{0-n13*b9?iWGVFtR%7woyDgF|%h(X{$wuqS8=vS%0Ot{p=PBvRVe z?+D;u1Fai3Gr3BxPs6OjPr=C#Y<`0iKmQ#(aw?0=rNNnGf3?! zC2N!w{2LVe6?mJEs4{hdS(&fXA{X-Iv=Jbzt;Gi2oXn@P`SS@JUwcgdQ@~xOgClP1 z=WYg*#5S{1K78kZE`~{5zeXYH53?uQBbl+(J+`ebV+A=h=Q2Iqty&QOm}AROK4Xfa zfH^EUbBzQvX31E}Q|pau8SK{udMTnV9)zdc`9v=0q(QfJ{gnxV(ak7n?7=S+9u*i; z*%n}uw-eeby#TW4kHy@MjVEV>soL@%l2MblISB61378fLn>YwOgBDV|#psxnQK2nD zQw5cU+YbY1=ETZwU#c>=%$W^gsAOb+xGC)=G(C3+z;l{W+{%7dU4q_oI52amWWIW`&F zUVskz7_s=^t(~Rx#DqOP?gm5w(srV@sTCj!I*pgP(<-(I)AvnU8m$zAgw2enp(efD zpDVl3Z#k?>Sb6N-9XWdVCEmr?Wv%`?p!uVrvb6Sc#MzXs_%WSUFz-Jd?xaIaL7h(a zBQn*#j8=a5i1vut)F96BCgve=$PrQXnM&JAlEO%0a$r&{t8oJG*rUAY3^}6rpJ?Hj zVK($O?}YS-x;@Nz%C4(4-ZGvDp(A;l3|8FXu0!GHP$y@Ty&`lwBZu#WWfKTchBI%R z#cv53QGs7gv9FhjE?XOQErfP5(RlHipuC}wxAE6=e0o)ZD1T$6rAd=$x3wcM3SrA{ zD4Zi^sn?<7Uv2ubAKxgOT$q1+Il7_gt>OpLD4Gp#!XV)or7DlBo{WDo90?=}@?>)w zw+ddiOge4vgcIpBIpaU7*8FhMHNi%`RU%ARH-A+?Es%hYP@&MV?fJ!+;eAREuf1;; zbC_7)(Lj9U-*5xv@HDWIt>yzBvXwg;5-MO(#9JD0RAV17A^SWDC4AgAHxT37kG<9^ z|HDF4ka&x$QnoPXKB<}n(&>%(FK{U7EU4MzI~-SsJ<8dT5%qzuY{mE(<&$j+;V^Qg zvI@Vzm;@y(6h4rdqrn6xUA*|GVLFKh?ZSO)mVZ6 za0nN;E7}1d(19OUh?_#SyVA(SvAb7&{vF^cP<>ln9=9#`KgT`C>sPhaglS4&;X zD;2?E(pnKh^DuhQaYDUX9IYIFfz*c=i0J2VkY1vwE++6)Vb6C4p9VAO& zP*cKQ+0crW9{JkPRX`jQjGdjEtE;U#d#mlQOshfFHDEkh6%Aj~LGb+x_&SgebIe@x zJ)qYGEHY9Q{tfiAyOHXkTIa`F+hCw8IC^qS5SO+X+W-xLOyK7T&_>i8&_!Ir8@|J1 zAE*o8o+pUjsj*L&_MUtn690Z5NDbdUC`d8bRt!{Y(AwaB0w9*QI)#))+M@p z@JVnxe49OM;2<^CZ4_@6Yv9;~MIhBpwigu-T3wUUf(qCYSk$Kqk!l^YD;G6%Ymz8i zTi;$SEqb3NGEk>r-|LpGi1V4T^#LS2+ngR>7F27a&kATNHZj_t?2BV?`Q-N)f42i) zW2PYXVRj)w{6Gl2fd-+0ZoxL}KY!O;{)KwIJp3~1zV4kFLE3|=?Y05ghinSo?4kG4 zq~0V1QZZo*&6)Ax`ii1&YXer6t)=Qk)&~tT_)7TP{!#Z8aE-8obp}ljvTYHA1N8Cu z@o8jFM@I#Qd-RC#`TS~+B`zT`hQs~2aJ$1xK@mvS8xoL$(c3qG0OtGh0u16w;Jee) zP^!WIT@LahQ~GNY8yM)5;>kPZOQH5%=XmCB#j+OUD@zj1j!qrQ_b+}F4(O}j?mPa~ zw@J}A{OdQ$OAYlmThzCWfW0;SstwzO&G+|+)gDlg+owqDN@d1**OwCFvjWh!PC4G; z%w#!#Wv(^+_qmc|Q)>>WL@V6IwN+sIr~nV4@|mnk?InKQN8R}cHX|k~yqs7ozt0vW z5WF?PjgRO5VCCx zT)CdtWboF$J}%uG^!H8h4#J!O1^_H1X|=x`7UD0g(394LFhj)1RNuvR2r0%@&t843M!jVlx@SxoXDV;9+M{Pv8B&5NAGWv^VXtw-@A=OYA@QT8x#sxd}i8`x>gs?f}c)t z;hkfuk%{^AlwvPAv@eoKnO<#Qmh*{)$t~EVG7;JKC~>5~J)mnp=_!&ElLv7LZo7j> z{>HblmZQaO5cBOjWyWR3z1u63p*u`_fpi_YOhAZCN8HhS_m4*UQk+TC!fHi za78SanO!U5Sd~Yg*^@+NmoKWgdws>*TF!bC&n=+pnVRmreVZYFfkJ+;QwW_uI=jLA zJ`Qfa-+n%GBA5zM)YzK+8p~W&_p$11YURCOEa$iW{i|w*BMamCni48K@yz?Te~3!y z));kz`Dg^Nrqu0(xH_{r?3qvX-rMw34$G_b%7xG{$4O*7xML$65b6D7$>^Uokr0V8 z!n`hME7NjD%0Imotc7^?0~ZS-zc0m&7-=ohJ`!1-`mFEis@e;ywVE=G0)hMk6&{~{ zZWAkhQ0xXt&AR~<&*`PRj!Cm7Ot;&_=m!Ydki#U%ANR@zcptsYX+uit`sqP?D!I>) zB|S*r7U@PyWf;GYJ8>M3umm-n8DS!JCX#_O3$w8&NdIzv4@L2M017jb5x1+1t5-uO z98m?T8Z;7>AJx$2H!(aB92Qv)Klb`N9cF-P7_OC1PUkw2hHH5p@5 zvH6y3S6ndnCg7H-+Q6(&$ni z3&|}Vd1iB>vi_Xr)_=6f;ya86hSXIFQ&Ep_u9R0nH~59eiMqG`VXG@@h?=H{xQU>x zS;+N6(w71c->)B1umZP-mi})YgtnU0&OAA)bKA-Aq5sPlUeYBC%4f#5l$6_FTEFqw z6%&)8cklYN^q&|(s5it$t_r)gFl- zia;e`H&h0E0JtxwmLM$o6kHEG+pVO#tL0i2k`3xamoZX0Q!viz`&1Xeerc=T$CSI* zVHAD=sv=}+P8e)x)MCX_H{*tz%@N{%x>j0CZCmmD7fYRexlgM|QCm`8{He3-EW>Mj z?E6e~x0edoy0$XSFYm3J_n^}K``F)20x@Qg0Q4Njw(%~0dHpN_J^tHgFoGua=b1Hd zu9x+lMes>dRdl+hPd$ISQq8UPlpECE=@KDch2GI8l@rX8Z=fIg$y8n3>Pk;n`wR^o zLAfAY$NFU>&2=?3a$=;y`t3uui+v&b3U?GLPbxl@u! z6@*Mf@k}D{7?kU!#I3Am=bc+GLcfYBD>jl#^^X3|&uv5ST^7=S@%>{-(&5xUdsasvOiw?xWC}fh3C=0=(a2`L)%+u78tsenQ3!0(y*E_aB{nb_cp(Sp z^D*m!+i|`{!N`;t?g1wOf-k94&BoTVy>3^dRZVl_^l-I2wk8MSlC!iZd-i;a1jS*FRZt2wW<*-E{fKV zKamXvO9IOX+bPD}gbzVa2pk6OIhgf~yK?Zq(>-!-}l(5hX7nNDwzSo&*;w_*X=?*2Y6U zEJ}7hJr^}EAIQNM--}87tiZC z*6gC;rtWnVsYsy@_XO}SQgh*Eg7W9nXf-5=a-)yoNkb?amqqpboQ{zYQ;mO$e1H|- zUBEVvD=p|q(qk%?oq-9dA{r?2qsq-Cy;zFvWS377PmH=!hl$P4XzHImgpylOh${Lb z7l-FY*yP0(v$$c>#k?2)04Ny=ETV0*p)5ghJ;>SgI<+>jQ%2!zmRs}!;>d`@16{DE%?(PdPDpJb=;Kac+@fTWn(q|r{ z!+pJ=UAO8!@2&HtEUg-PsBcq(M28l|gY~Zz>pGzZt%0-m{h{TZmp^T|B!O5XCs7Au zdFJOunYc1PF>@W=j0XSaf-j~->(DaH&cubkC9k4&f~%leKGST4W{d|4y}QU(v2uCe z$03LC3rfgV0mLI+KQxJ3?OQbZ38fR>3x_-Z&LXVP!b^XqzUml#aA5)0kE(z&+*hX- z26m{YCfOJ}d&E6^EyYJAv>oE5oQ(&%0S*pUI@cJCZjWQnn!^4I@7gptLN7>dFSKu3 zwv6c`AC|>Bt%KKD^bc6J=W|vH$Uz`TfrbRI7f2e(9_3>@NsZ;NBUeVUESeETSo60Q zalY5@(wh&#)OS-$nu>3e;((uHWp6yzc#Rfu5YFLhdqXb)Cw8OxJY(FkUK$)c%RU@R zrZzY!KPB{AFhXH@FQ%A16i4xLNpTGKxq5bQ_ge?* zsu5Sv?mKEBeeP2&AL%&{`656&C{6*=O!{qWp+&SKywmm#uNwvY68@vQodS%PB z2kYq*FiO&qvO;#pmon;6KNlJ*YG)hF3s~(Ic}erkYp=?vCNmyBtb5S*m4vbJ;ENa8 z?%DiaYgUTRYb{DQ)5x+DZa!|=Tvf>|um%cv*>FNfHTe4I)XmAvI1BFkV z`icsv0Z3&?ud$Je<5lN(>sqO78d}i)X$2ds>IR|i%RQ%hsM1Pxa_1^DsFGYh<0g;2 z#a*&ZNqrzEDY6Tan{Fsgm?ZpcTr3?Q^N>uv(oOEs#LM-2NIyplw$^9mo2!s`+!8GJ zR|xf8{y?8a!~tEBXUg=~oBD|!$V;ME#mk@+klejiW5&v618+RqjROQzLWKs3*w;5{ zuI`zE`fb3t%;otHh71c=(~q*{QjX7jEjCP$*|UcK@Tm}Q;QpH;k$uxv7Sf6!@-q7+ z7`Ic#(d}S&!@8@4r+qv+{D<0)oozY|__U;5k$3uT{d=HxxY*ccR8oh>u3>s;nz;ZK zYb5ksWfF*c8uMJ`cjOARzOK@sZX-^iRVP5VJ;2$ke`S6K#+a6{!C%wnL@w?(S(z?m zVzs)@3PV>5xDv$Bx;Vq3;X+O%NPSzmrR<&21lh3vUQP2BQ&Qi19_+g5FCWZhP}=0Y zc+QdfPPQP#JT7tRk~9RD0>Sxjo%?T;{TrKk@dpq*0GNMDc1G@kykUxdo8%wpRm+QE z?5RX>xjG9o{2$wUWfQmMP_Q`$#7H;BASD}5)vw_*NZTTO)! znOmgSidr^So=9RoYJJ__KMa#Y_;pB`N>~2M4EQVYj@5cfs1bi)@4Scik1A7J`P||D zilk3yo;~f-9_yS1+sP(k^{MPCi{OPK9tZSK%GY9BY zyH3KC;Mh`E*ANBi<$NarZ3n6d5|7{3MT4>Q5c$mu$=lNDAcVGO=#ahqVpJ{alkD4L zsioIQ@T0o?(+D$iROMPkt6YNAI~L4DxZ5&N?kcOMMYkm{%)JWKmtzq~EcTNzcluk8 za61mF%)BbA_EDn*8yv&jQvbkzszr)sJz7phjY2A?EUU80MpT9m1}1L{__6nQWg9>8 zih-*4lp;~1YpzQ>hwh}bk7iV{%f4R#V+TDV>J0Jh(sD|pcl08ad|LN8&JA=Q_NtQl z5wJ&SE-l#-LmJdrGED*$XdeHFED#EtlXM!Ht}zAOo+Ietb4i z08Psm67PO`GMGaWtWU|A^P`&WSWPp?-5ysXysw~xwqBO0(uyC~CH(ZtWncs$TpyGQvBEsS9I^?7gCy_7b&B^UQkS1%PUbbB`+@0Nkqn9Z$!kevw0lEpXsC0X zsY9&xNakA%8Z>%Q2HM=TZ$W-R4}in{cnP|i8>D{OCCEk}GQ{&bPRiRgej(jHPxW8k z(I#~G`*!0-xOq1*YGU9bHet9^4|~ywl}|I47B23~IUvwHN>JhI&jMpGM2BOJ0QAxCR0*Y7_ zXYaLEHVbi2CN$=SL@H*8f%+6DdCj+ImpXumI&*nVy>XaO{@1WFmq{u3dt&y(5v|d* z-&1*QaJuI+2iPzu#ULG6CW*GJz-%Z|U=!)+4^B)66ivX#M2(s$IKe$iO3>FuQ z-{5(5bwi&elhISiI#ni-B}ppt>lMUAmMAhhS$k5V_RZ= zEmH(iXoLw>MRwP5*-$(^t!y3*>7Kp&lwrpx=ZgVWZV~f%%NjIZvY)y^4}74fj^pfM zBkCsp6dAR5Lb%d9{Pm_`fDskOJTjWp(fc!Qp-}%*2rZe&@yM%rVyQY_HkBYFTlW9@ zg3CI&nvGzfCKU>H1Q+|_*4AJ54Cx)ydkBew7 z)>Oth=iIWQOU3h9@LqHW!<>r!o~BTQNA=kH_9lSXYB zK1Mu4(6TBi=qtqEto+S1lC}MTe>MHMYmB!pN>r-sfLhj`v+`E7%wEsq{=emhg}sUnhgmJu+c?e|^2) z6e0Hi<)+Q!Wko3p zkDo%iqwX3P;%uh(wBG%zGu2s>v`3>lR*sl?Q=oI?s*;sM=iaubS=6c0I&;5xYZT9h=7@Z3+&`XSNhy0!;vC|(1>!nM zNXQ2^{!+7R(1pMdjGIFgKnrU$*Te4OYlp*u|Mk8x`!&Ape!Y{5bDlU7`rCwI4`-lJ zjWH_uEwx)%ZWYRXo3Jz{$Tb=Gt`SmMc#8S5+)FpQ-MlKisXMjOR6(JjAU#&YC=dv{!b>$vdltI8MmMFnCRfc@`0QxM4fTTzvB*+do*YB5O@u^pj(+z^f4hM z-zA)L^mj?rZIy`d7FAJUKaSZ|?oDUhdo#xx?OF;z)6$))H> zRrg-p@IYadzX&lzmwy+%gJzzRQBEDxJOX--K?fdN4m@SdWvDahj$O*(Ery>J1)FYB zV_)M#fVz;xqU?Jb15?k+p5IA7Jp&hhac#N^qg6nY$g!T_ep(hC-W6e)nV4-w!QBnL zGwMGCVl)0O2?l$6xG$#;@E7iq0O3@vmoLyJ?v4aPQ0ndGj*{}e<><(i$WTkFiPPTg z#H5>gYR!B$JufZ$9|10)Ad%nch67HNN2>ycY$}}N=-+V?u@z#Ffia4_yvg8EC5q*B z(4$F6LtYEc%Y94a7N1Pi%(3m0nS@R`qxaB~AjiceTP`*`ISE3^i>XsbJE_`gKA*HI zujii`;`D0nTj@VPI~t7`1mE` z4c2x2i>cav@zof*Jtgn&HQ(XSh2I9?P8X7z8r?hk>9#vS_LoSuV_J&AU)+w3(nAii zFTOd>Hh#=GD{)X7k3|gnK26m_!*js=V8DCuw*Z}gp-D6d?8z|3!tbD&>_L+R>Nw?$ zY9Z1WF5=xI9iRiM!Ao92m2oY3#>SEe&>$EzFr!90u+6ER2J>g!p1+PV82J_3fzR0c zTUXBr1H?YX0mNI!el|ml&Gj;NE}H5MJw4mb6{W~dA2^z3>@o|!XyRu}%A}LUq1;a5 zRAne^FHsZKfIB{FZse$s!F$6kS3%~Nl$}#cH(T-K1j2H;y!q7rKZJABO6i%oniKSX zB)H1^iKV*onsK(NhxK74OK}npcxz~s7?i4Ci&)lN#P`E$VlRt7W7A}xZ5N4Ew0be3 zTESzk;{A_Nl;Apo0N0O6Ig8h!@p?~+O}lrN^KOX=!iu%idxTsI8$sZ*bGK1fdi3Rm z8V)EeZ^7~FmsC2;xgDKo4F7a33%9@>a%Q@d=k6o@XY!d#w;Q~2>0$2K!lhIRcN(0R zpdv?hs3ekTGd>`Bk&O~LTkdG*#q-$2$KH(}-GBL!w^9YYyPMpIj3wo&%w7UW*2>v3 zT&noXsuVgTC@~p?dddj>w8mk*Q%?W;=hwY&X%zb`%LWG%Om7mLwc1YaUXVw z5-YfB>52zBxj2Xvd>qE7-zp{(F!f8AA|rWSWw7RS7_y5NRh%v_MkB}CZ{6SJ_r+q~ zJ55)QG&91}EcjH|F-IoQpImfF_kWcfCYOauS4}a!fcE3TK4u&kh9|k%K_JDahqiNb zm}PdA$Yf`EeptODMBj}v(gzIUPfn=Dory2Sa=0}K}TiC27 zcI$}=M$l5~dn)Kr9(qBKBvypy0Eph3XxmD3iU(A^d5_{}NKsMKz9J=~!^$kNN){8q zkM=_`9UBsIJLwuF@SDHt<4%}My6zi_!DsBh-mYffInbcOL$^OiT!gGlXSFmzWKV(m8tIA_^z@~iFAsI^7%g!V>O-Q13s5R zmG_jnGacRMWs5Tf{jZ$O*A7w2wIdvUWG@6?tXjjV+`bbs?}xaTcgh zDa89*{!y$E<`3mpIls*db%MT`GAcwmFdjMhIM%Tv+qc=N2xE*HB69VAM=J+_xONI_ zy+;I@76{+P?X{l;$clsx)j?drJ)mlZmxgcXdzvk#`#i7BC?sK(pXKn_Mh*5t{5G0` z6YZnj36Sm{GQh09i!7x#qEt^bp&rn)@#f6F*MYtZ;8X(LDz^QYhu=Iwvmn8`Qk?La zYkmT0qC^*YxXv$lKKdIkLa6b5I%se=mOD22$a?U0WCgGjFXg?}dsI0stEr3yle=dd zb(p(N4>I3hV5}jVphza2V_u`1AjSpB&!zokz1#XaU9$M1^Gf=iZ*co18>}UDR`4EW zP656H`XtIBurH6ir~MZ%E1kU!=zuoETj;o`bjt^vHgRTv;J;v-;cuD1?B}r?%H|Y( zx6a_Jb`qIIHp9Yg@U~vb9CaS7tLa^!yZX1~2i0A}EyyPm0`_K|C27fWDs?u4uecbe z$8CRoCp4exr&A965!LOeTos8+4s@P9$sXvNRd_}_)wo@Q`W+zk|ZI6C!i%LWv(b{;f< z{#J?SAH^Utq%C|HG=O^X2;k;MISS1KaD(O%E;08X+v9TkTlc&(sV&u;>0mxL{bLSV zp~|K?GGkO~h>f+Jn3^0b0!36oRxmXIzPGn$ez>*NU3&dCY(D-tpg3Z(pB_9d5KBs>vf za1j1{U=kw_2j@|4$>AZ_?>np^qY0QJ49r8*7cv4;8~6~hIT4j0^pPcWs~_(IoGIx1 zpG=|tIQ!q!AfdIzg$4PD-0hK(P6~D-HFO^!9EZSfg6B9RZY>>@$~SufQZW99Z1GU zfnTr?ogsv51xw>Y1f(H>O@~*2{*EF1Ud#=^AvCzS8oK;h`?^W|_Q)Dzk`b99H)?G) zEH486xcw;p@4dW!xV63Z+o@|qH4T1oPiP7pn5FY&KeY87A2K&3Wj~(r2^1rEU$Ef9@5GNKgw9ta;VmJ86Zn}6?_@k`|NZCV ztH6*%Xy(vgcKhG?-*#hnwh|5pbn?t!>vxB;5U^?Vy@AOoc*Em^V=zZ&XIPLoFD@Yc z-&_fFu=gs2xB%nhzZi^v_VSPUXU_%5d45ZP!}>5nkneUoQjFp!!9lTpkUN1P8N$~O zF;9P+wtk`Be^uUZX@4~eejUV^<=NQ--mrhjz5>38bRuFm4PqpYxw-i515vJ=F#rC_ ztN{OZbfPfgKK?%cCl(~|5W@SIJ-KBP#xo_VHFQynD9Sv}cYa^0efC+fB~q-Xo(6vS zv;wYoc6R)Vzjf-Gt|rV!4407m*#{Azp9>%az_SHs{_Zf->+=IaAwYH%xbR9xMyF%$ z4ZOFh#NOn+cPm= z+f6u4{Cw;DnflJa`C%A`HS~DH^u1cScX;Dea+knd>)VQ_Up@YU{HhDg<{H?K zpPMD5x%b5V9SG=lGOVXrgYz>-F@K?+uOz8IZVp!%jK96^&(%pa=k(!|@j7i#F$l$3M5W>}vR0Y-mQJ7BSev>F>;D3&P+Mh}@&GX0!iZ@U zxAbGYo5F`Q&+yOm6pB3cO@ptDBOJ{!)1pFpqZ`*JL@r;Q$MAAH15Bl{= zCQlW_hNOw!f{!e*bpIg#hjP?_c^v@ayX%x52KEi;sA4E=#Il_PUwDsIYgh)s{Y0kx z7+Pb$$zUDTC4!2km(~BE^lY-@SkW=uEz-QZNZfM9HqGNNYqQ@*Yz;+^2@HV_cUI%S zs&)t`^^UA{!||FRiCC?}tV?GcWK&FBebArrNgJR)?md1SvZh;w75R5Tj-%Vu9Yg;f zC;ppHphcro`&E6s&MQA*1o2{kKZ7hISKFXLOYTw4&8tP}hO|)pao z8<5C2f_llIEc_G>u6~z(5-7M1U6A=y>_&Vm@4}!82!W^)e~{QUvCU4G&KUEI8%Kw` zh3sK+_Nog}QRW0IO-$n6`%)gSLCs#lm|PX`U8J59ALdYFmM6|BmnvNDlF_5XfeIK9 zR4%^SmCMNb(}5zGOrl+7vEpx^xvn#lQ|@-F{NdOf<*y@#+w)@QIb!&qT}F;MT*zm6 zPteOGn75~+DDIPs{h_^mts$1FR>Y@Qx!(F(3|L&II6E{U{Ar(19XI9?d;b z@3fMJZ^3L*{!U6<44HE3YaIG_fuLd_GbYS^<=(MKw+^$#EoI!!?(oc}+AH`*TNYHn zz!}rdJHM`X!igBc(Le*$n|UF6Lo$-DgSQ`pL)h|ULs2m0`0GFg7$DLpkXN7Qh_&m= zTsJs(eGxwC~(tA(z306LP^J)ZTPZY47i*5CnFhjO7yrG#-BWB;+`3(df{7EBfh-UjE%u$^6UC~HYHAZ}o8vfvdF0H4U!o5W)8YB>P^Lv`Z$&)d)7=MBY2TfA1>W6?=wx{lpv&BY^}{SA9mZ zYb#W;v>i~cEF+!px_!qP=O5LB_IJZ*NU>*GH9K>TMo{mS6*WU5o7pB~Kg~s()tx^E z2-+Wwltpn5FQ>{10h0Xz0R&oW%F#BAeD>wpLU)D4`(|oVlh-luD%+j{ne#di*6K>m zUHU(dZ`>nc*_Ag|JR(f2La&oS>6vL2sjdW4ZteVB zDq$56|C;{d$6_h&=4IrG_H?ygu3Fro6k|pjvP;o9-V4TEW-{VV65dLcWi>Ab0ha-L zw#ohTe+6{+r4<}V*G8c>m@ytP9{NXbGYi%l5 zrhE(+<#%+6qfu+Yy2idb?t>^=@gY7ro)~4c7sM&avearv#uq*tT?_aqAm8|el z86R+-x4SrVQvzMdPwA+rCw!Aaqw~YA9^*9|kIu@hQi@qGbe07t3Tl?vL!O-h4eOrW z7`zO7r65qRocwXKFR~u&M!xF<6zH<@v$;%7$aR9a3c?93&$JcL{e#yki8+kiw|Cdk zXikTV86P5+w({W=>ah-eT3pt||8B;B{_fWN=N(jDae*J;SPHjBmP0kz!zgR)5O0I% z?k2uxtzwxC`4Dmluf&4ph(udub&eUY>MnSe8j&vUrG+!rSZsx!{otYD2m zE>X=Dy5R7bTKB4lLfMK*t8Mp+d8k~~S$Pkb7h&on`uoHP8jo0k!=lsYyrcA`k^==l zc&4hm0&LLV3b1dIU-s9aReI`ou7gWk;1exDzm-R_dzhxd2ap3+IY+Bjam$>a*eT6H zvZ)`N*zzu}xnF%*#KIFa3uWZI(ENyxNKSW8gmy+rteFK$ii!yO^zo7`-w%P z!e%roci7OYMc$Qqg@Fex&x|+gGwhO+?l{tlhNsc~qrVN05 zALeIk46D3+0YY51;P9Wxf~9LI(^Ba}(O$B-a(h;#DQ{(}PCltvgAu$ZLKK${;? zY8R4_*WZfHKcn3D&a4{67nh7sPIH8l(m%6=vsaeRU{TIxS$rms%|*|CsG6D`uitCf zB&C5X(R`5#tX*J=C;h#7DwvxKdF1twCtTg)oI4U4{?4Xw?=LCryr5`s-cZhBRh}(!c%l zT$CKwk91e7m78?v5uFRVNu>$8o5wVRQkWy|) zWVb=d`9NRC&{^!Q+axgp$4s?Jyk3f3O->PTw^9$|I0qw>o__9MzVPT~MuZ5lrU3FD zq!={$zsCXul5U@g~zXA>k1k=8Y+pRF7T#gC6l+YM9djZ2t&2#dxW<@btVlJ`^inMCut>}oY;Ya9ObxZQz zEmdz8y-mi1CUe!#yU7&tKis0g6hlV#IPfHPYvf*M3OexYJM2T{q0N?vhg1i{(T=jbZ~J&eJZz zL}`SvPeZqtfofySTV!pwjxLMN7=!1`sokXkC(n*$Qd+N?S)y3Q1G&>;nDP30f)^tb zF*+|I&E2L#xXf_Qw z8wB&Lg?1M=8dgm&`{$XUhUvG5+F`qokh<><3qW~*i@hdH6+7~2U_U2&joU*C{sa3tG z$>M^npI$t!Ml1tP-wVLM>QZ*dkp_-F0-lNo|6l}LDPZQtsG*3$>qyP=rwG2r;$q9k z8(qIcPVFP5?4I6^?4$ZVIMf=W+I_A~%_?R+B99!|Cx0&EyDTpJ_(||qh{5Mf@}bVZ zYDOBqZsC*f9myjGIef1^GOMNjSc>Mr!V5Na=LQf(@NxhFby1Yo9J4QcGl}yM^=N;j zE?$xAw9SOJ60x9n#DFcq7Tyz%{*)Jms$b3F~D=+(#NC($ze;-^^q z{i$}F+C?lhoTM((uyn-r$B3_sA7p_^Cl$Ql^nbE124V zhp`!xf!APtu-pg0snz9@9nokdFHXVuviYhsvr3TVnYwS!%n}tHMWz43$vKP&I8YGl zIEOhdx#1+;U?PVtBgOSoc6`s)jI>jil54K1O7J9HD(=hL8jcul*wV!qetGC%5TO@^ zE}aG4`n&>{FlvA35Fa_S++k2J<(!lBV_B#c4-9Hf%vYDggnr_LNCA5XoNMqji5kTjg=QG9ax#*uP+x@hy_A)K49qk9_o(AOARb9a-&h4cJ|5y~4vBw?rySWGuMu@)*3e zOUxdRysdOC5~{W=Uq;MWNm{#X&v)p63Um0mH9b8}%@=H|?*;ity@y_Ak8)Il6x>n2 zYb_*5eva+pJ8t}4*#@*sdup38h2Kwm{{DrpN6;_2SI>%#)#m5l#fJe)!#u+zCDp~2 zo8-%Lt$Ka(_ZP#KZ2lW&e8l`_%un={Edi73dJkD3Yl93Vf5yJ9#M~jO?^TmyA&~5YaSb!JPhHx()v^j_|>J@o)!{z7gu*?AjUy zOQU;CL<&w;=hqZH&_923-BjnRa193vx(eaHq2g~9Q>)#yG4R*>c9q?76kWD+;l=mv z75BRD#$9LedI5#gc94patgJ+@bFEf0AR`ZzhP&71D|mEGN^`G{Cp6Lr`zB|$#)cK% z$03X`wo#*FQ;dY*FwecbO=@W*N{s*sWiUsqe1nUnKR`JC=wm}4f#BQ+VJ=74t)P5pg zqy}U_O}j?tXDX#1y3vB#qx!U(X#C>(W>iZ$rJ=k(8fmR9`KNL!xSA+iMQEvGPM~pu zZvcjJaO8Vj1bzeuO(8)bbAVJN(x8;gcjP1%i@by}aE$}<@!u!+7xmQ0@^i6OIMtsE z_Ii=w z$nUz2tuHOQg*zqKQJHn>#2wtMG|nLdHipNrytF#jb_ua$HruLdzuMZi5j&inefcH} zL-m5a!Ev5%qzqQK$^UYAB^^;O{-h$!W=DiX43%42AEsBE7CVS&p7J1}KEXGOF9(T6 zCas*0^xmtaW3~J{o-|L*)h1~khQ$sht`#3zcOw0H>q=~Gw;RB)#Mg8-)YP7vv@XY6 z7Gd;KM2#&WwS2wFE~qi)-qurEArNi|_qmW*) z;iz9YTb^pW$2dj9Q4w;mk;PTu?|IzScq5SJ+Uzc7zP>sr=aMfY-F8V4jj%=2`9))0 zXnIg(Bb;~l^7xbC^E}G~@h+!tR8$7d9Afkb1m^*Kf2pIr{yh^>B zva3RzTo)?ksg+xT`W!1ID3KA>B2C#QvR4g#XbE08IwAau3#5ZXQ}%SDm|-$-UzRYa zs`k~7wqV1-m~$^gEc6!Jv0PXclu-v;^Uufbh}i*y>2qXWuU>Uzm$+cbU_wiI&y&O@ z`QTOBLCT-6fF~DsTA+0MO{O^~=>6O&H@q-9?@n`%l)ZX6>hL@f$-YjkaB3^@+eP0! z`1X(_6ls8`anQOqvgE6$VsFxtB<;scx0b+CP3Q$alL)JU;j=dl9o5O?_-a8pQp2F=XjF)~ zXd32g#v6_c85VesR+Njqd0g zMkN_zUvw5-6TE@`!?Qt@N@u#P=2f`p+$ zqdevZ>(M1JG;2yc(N@bTXDG*{Gq-`PZTBKUy2351CK=Y|)LlC$gPW{^4%f)UivPlg zd^JJJ5+gT3HXn~yMq%Xo~d%ZTM{ zki;kDzV&bR#bE2^&5x4o#{m!CpG}a0@1)#sH+tMQWwZ+EMO?q#%V`Fq9rWo*;pbCn z8}@#^;@mdDPqne{rE%8YM`NkGW%69z$-NTY&zN7f8(A@#vMt+5V$A01wBH%k7OHRo zmg@SZH|BX71svKx?FP7U3nYY;ZDj!M+%`FbxM=#sZ7a8yY$3N(u=%0Y{FJtmH-Npy zzE7XN-Q* zY=xGsO&~(#C&kdA3o;LT>q0aWn}5k1o%A!EUW0E^VC<}YxdR(=DJt2GaH}pSv@Bd#9MwyF0qt$3Ec!CzhUpo@SFd}q0ylIzF6Fb57q`qbz?%?v7RZ!KR%{tGHB*!ucocUBaPS5JA(KV80Td9jlON4mI= z%XZ$1j9I177W(rJc)30$z%w!W`V{AqB%AXy1i3ymY#?7*uBiXOgKeT zd#;7SSWiF7$NrLrVdvE{_A{oiqjFDAx*3Yx$EEW-TAyrHIUiuFe_2|C5c_8T9iF#% zU;m{_^$h+8Lr|AKhTT(9#DKa?xgt7vt9@U4BBop{xI!%6KO%)704;NRV}el5VmvZS zQq%n?66K#$%~Tpp*Wv-mvYg2+UJBmpa*q0DC81OF(W=+iq9aW8dbyUz*25H*;3aOW zcshZvtrYA_B?rHaKX?X{+Tp_Q(vPY0PR|8RQ=_{4IS2o)qkvZC@RqnR9xs}&WiT>E zXJ}uv6zl5~bQK!wnqTZ!FycjpARK+-jvZP!^1m26rzTyvAiR!ky<^+9ZQHhO+qQLP z&e*nX+c;x;@+DPCRVp|62YsfC_#v?GGVP<~4X zr&FB5V>XLlycK{=(gMBiOPegs(;+@rLi`T>em6@whvBM9w_A{qr9~XP_EiHew882d zhWy;36t^z#5UORdrCmC{9+mPX$yalrQxvhV+Hnv&mjlX=KIv3$C%)&f>-es4?tdUP za#>q7vG+}GrBLzGQ401CH(h8GO`+RHK><4sV1B_sVwIxZlLHbiEe5ocq!un>DughbqWqvu6k~l3JyL=ifnLo zp|#n4c8Y~vyoCct<-@*Xdjg{5<&&s+@;s49+Dbo@5L5Ko4-u1j+%G3UsD)kJym78y#mn3P4YJ}vWh>BS%Kwtj67!ne4j;oIAeh^=n# zbT}06u9=W?1Kv(tW-INf+xg&D>?>+9&}OGbb>A3Q!Yx84 z`vgG9q-K}qK%1O_nNUetygZqs@MM@aF^er`>5ZHYjGRa7;?lAcW}uxK0mYFT|MrGnUqFb!goK?e zs(1~jO89qMv&@_$?lUTNi0{flS}SFwzc%T8wAx#%<;4xF+cB17wL^G@4}!knB}X$} z#yrje?vuRpPXp@wfk{8%s)PrfMHqn5$p#s*to|tTK^Qol*JzkfJJvoO!#tYj_DsAOpq&pI+BkZT6!`roe^G%ytf$?^<0{0jn+`Om)>g8jNad*S;c=;#DG)qv2(1+!;+4j-Q2kX<249zt z-xOLL^|Lluy<(|YCPiH!@%TFnw|t-ksT^q2`UIeUp#y(yoBE4^Xw-4s`ei0I3GftBJ3$yL5NP(hIUOxre73*0GeE;esbwA*bT z%s)HQ6|^{+Gcmfx#mh_7vV=_Y?piMt>;j5|h^?07l8hI=z9T<}M|XL`rAZ0HrQe*1 zK^(g?Z4lcamHEAn)?4cdAO|OKF^740l&JD>wHT-sG-O1 zzfCbzOdVXMwa`P`IgUtP@eoxqSZkrpu58_5jJu3tI%RI+LMA~{2JeeW$J>|UC>t-# z8kWs~IfHZ@L*S>UZz-Djo?KZNlem<%SGl*&UTEXLaH)PW45BY!r!7cVEV2TLbW7}; zjg-Wfwr%?JCB2Jqdhman;wEZ|FVE^J)J-6wj@9l%&PQoHWx8;irNY2K6Vv2_s(zeO zHHw1vEE$~(7}1$9`y^Je;rlYuoQQ_DZeRX|TTqW@vV*0U8|Foom8+@UQj6pKtzMUF zqfawoNlvV)Lg(Fk{o)UCIB0B0V*1N5^T@O?%CGRBclTa0G}AgS&;d`f$xM8yha7pJ zwfYCfRH?jSg#%|Srb1h-CR#{mZCR9d>u$dTAzUEvyfb;1$C(BUe1b&V2y~gv1KMIT z!_5v7ri!?hV%juu{v}>Mln!?$uSUQ1oA&VKXQkB@u(_b_i1qo;435JZKZ?(j0xPX< z-P0=QxreYXtqPF_N6I2)@nyjCR|E_M62Do31CpT+i59sK)f_b7sm+ehO*Pfr$PmvPn@B^ynOWzJW^W|IWvRXx?RbO9o_JDon3(G((9Dr zhA^TtKCm+v%?dRO4^v-2l}DGI7i1b0nbMTzV`{;gEL2 ze+$vOs-(bB&3m|Pk=qKtUmEk9XmNF7)jbGfg47kw%FFp^Ak200J#DUw)6V*m9>B6& zhz?wX2n&4!r6fa_YFll&Udo^&ZegBM9NF+~5l_`yreDJk8Yyj0bCkV?GnphxJM*4w zMNYkWy_eVz@{8#20)qShW*OQ4f3u9tOkAA*JH5zE$i%_P`G0(~|5uoii;08n{|Yn4 zwt*|^ZMFU{^{gi6f1X(nkBqRP!5um<;b2IQwf+44o7#b$or9)1{#Vn2PlFX7u@&Q1 zdOKIVCUUaMM(mJCu5mO%d=(Ar)(o zJiTfmgzjHPBo~P6_TH&sIo-W$G(iL)Ee;+aSe(GHl#H;Lj4Z7F$?54wfp9_#{lNC9 zt`;uAAY?*VXQ0l0mFOVcp4=L`8r{8w_W}u^I4ruru!xA5AA=hpWS_#OmaHgH!GYlw z7|UP(;;bkE# z=nQZG8Jr?(1LRL076~tz;Lhaci9-kW_~6RWFcy?2U|lN*7*BVXZyR?yXg2V52-uqW z0fEJ#?Sz_VM+y{RVw0*P+}`rkj$zcDAj?8m=6gi;(lT>+I=KV`qam2y`#*WEvM zcl^!vwYRW^K;8zR5x;hS!M}X0&;w6b?O%R%XpXEOdZCCB(%pZlN?Kw{TPWsrH#S$M zFK?~hb!zX|(l@ktfYOBMR|E8DfWlu_zZiBtt+O_I4e;o1N!}UI@BCbTJ|&?cSrXq3 zXhtUopc(%g58Vd`I6Uwi0=?CD-xhPtKN^U@85+9+b@X-t?JhlnY6j*W{9aO0jD2o@ zk$vDefnvDo z1cu2KE#2qIn?f98AksAX%_XZr(|fB7gh5a$JOcOxdQ3GRBb zZ~iM2{ozf*Wv}Pg3G*LM&ziu#Pw#F3%){(4yz9?r#qXvp3&+{(L&wj*8()L1-+^Cm zRFI&2L6)}>u0$+@3OPB;t#3qR5XA62rXZ_Hyc$z&hyt-Q_cjUBOwrB1Py1|VI!VI= z^vh{<(AhW#WXXVnl2h(X1-g6c8in3D@rJ)CJT-T)sOx@5?-IlH!y$tfb z*+Q*}Gy8p}R84u??MZ1aOgDVqrMxyiG8qzsXYQ)*Rh%bC`7(bni`jb5A<<_PPczM@ z50izun2`qld#k;QW_5JriO*pNkR-*Gg}m~_o73Da=vjP!F#hY&uARMH43Y@?6C(rW z6~fOfbwbivKpMkxtD;-K*tWkhZ6KH&Ci?3a2At+pz*aM<%{E!o(6#AjdQUOs?oLdY znq7!!!qeMXio7(QB@12kcZCvWs3m&_L$djIN!YdJTD@s$@Ra8OWlr@P#}Gnl?2@v+ za_Ovc*2>MXBH@7k%V+A_@MvEBPl0-pVjWUZ8RV1%G)>QVn%ORE@vUN6Z3z;dwjh?Q z>Id1Y=#2$s3Zs9)KJ0>oZ~Ba{xw)Qwh5a4!ph~>$UQC@o`tw<|z?#MU={4^>yzs6t zC;FajfS-WC+*p%w3Zp#Yp9!gy^RL{;Pn;GQ1NeG>^3v1`-yV=0rS9PvQGWXrlY`=d z;y^v|zdjbd&Wy?e>rMvDi5e`N*>CeqYjkXmmmo` zC3slI%2(G1ds<^BaSH$4ced;)BpV%)qPVh1J~MFzvWOJMs)Z^^7l!{ZQ2E?FKNmh%1e23EqpQ10(GUtqk`EnVO8 znR1WtJCcbu@*ruzclKT;$Cr^A$Oj(xKAO#;Ffw+8BWl-NZj_cvzA_gz!nYY%Ws>LC zs#>ZGbXMtO%H2b?A?J#BR;A^d4mfagsR8X^bBNK*%R;f?KD!gZXVgkUD?i^KjLp}7(LeVClrkpo}7 zK$VTJrsOZ5rP~h=k88~#AyRAa&sYL$kr1y*5lw9=i7i-3k}YM=aDm2l{^ZvcZIh@5 zNbS+q;D?OjGX_hvpp@OtwJTXv7zE><+|HqEjnAul7E|i`>;#hkNI%whmE{N z&<7n|*Om0uO{uq)xCb*`hrq0LRer;V`%Lj&)>`BwJ-R6YTBB>`ltgdGr(^8_`Sit} z2Pk1~Q!a$c#QGiB4IwJ?@*me@OpRklL`W;13#ZcXV_#X~VOOy-=B8qSlHDvIlTc)aNxOv6Xj|J?TRXMiLiOKv{zjqZ=dPb)R4=ul;=x&?M7 z;`htuyMn&ZC$)SWdjh&f%1i^Y556)tKN^rK+h8kgAL7o|H1D$&74mgKTCwPE?%sC7 ze>%+4ZNSqMCsx<)^Z!vmT0WB`yu9tON87rH`8AX`e>ISmZFM*ufY7NcB>UM@D0@9t zPSSzQC;hU=kS}EbD*+!|{u@J8K5duJ!%chz9hn#!)(apsQy8h65TZ?p+rz1bC|tZ@ z6D(VZ`ES>sF?!2CzT&%*loi2&50?2#IGM|KA`oz<=Qy_L0F*?k>_l1H4H~go2B`); zwD6ShSC;Db(>Py5HwgH$-7Y&RgFVZFW@N0BtH6%T708(xwzRuzqnZyR)y>Zcas?=B z@V>||!Lel3))U;`KkPf2itN#td3j9YXqhB4KliQ0lRPHp2CmCp+6;OXSKn9;S({DC zt6c9#`AP*LR=Ghosk@~Mj;B|;-~a&(`yn0NjuKMpoRfK-vfi{_(Vk!hToG5>B7qxW zrZs7mE3|UlCNP&l5_ka0#QviTV{?Dsjz!Q4o%H6Xno0WP=T zpj{m5?~~okM4-M5kzR9u?lK$f56G)5HsLS=G`C5Mzlx-{(pWIwv`qzM!aa5WDn{Cr za+KF#1%)pKxYZ=J1_~A=F0)*hYH{^+rN}8_Qapg&_Js zs&?eWFrl>eAD9kh=&P_h6+DeD{m(7DB(~^{KRTnrUk$EZ0@yv5IUss{l_rLq1#{LH zP`Zj?FgP#0<>Y(9@>`a}tjs9hWhf=er=4tmI2;}uxK86v9-f(I>4Cldos3z>A0@fR zJ>KybD$Z95Glg~TtnTS)c~~;#D(DMUrE;HTO;SxmA2!oB)xn6kWh$ofX3PZbM!@Bf6K*d$&vIL)NY*gu%kBOdc{Zth0>BHu^|_IF+Q+ zz2f+|PM`8f46P=LE$6zEcThlb*e7|VYQO{oWSdJVZ+6|2nkbmZI3F+n{%fip{fho~ z&+M!(-t!@5=IY|GJd~OQy-OnQJ~|>z+64Bjx6>s2EGufC3|xL!-D)%{IfCyk<=L z2ks`_&LuoM+N3_+D91d15byA-b4o)YThwdJe1s7gDZ0Q)X5jaWY#JoJ;|pf50t`Sk zdpF0#*LsSM31JfCI`q!z%v~`~-erSM=1WP`iAEpR zkF>4nW&Jg#;n|I<-mJ)_Zy-HiSPk^Y4rIIa5|2sXSqBFJBUR(Vj=j%uAdhPgwSQ3W z+F_Q@S`zG%zWlG8sNzn+!%5{F4+hgcDvYgV@)eJC4L564Jh1t+ih za#AdbfYHjBu1!;HZS6cJc^`SlIv4193;HYL+NdLw;`1_^@jcN|Vd5cm%N;&Yv86(b z=!B7$;G>$=hblBT_~vD_CJ;4BRO{4ila4;r!Daqr zGka8@=_bDL7P>6OI29j(J=s+06N=JCcIwQ(mwtJ|3y?$C5+(WgK7X9}YSWjRV2FLd zy2N9Z%rX&|o5o!M3~MZgVPcZ1?xW|^hbLOkEVTHBok zX0@P^Ly|;@N@~61+Jb3jlN!Bc_go!BslG*fixCrWO?+qx_3cew`MK3QTijw8nbv7q@2dTr4p2@L}OCErE@MP6cEW~Tp_m=>0} z>~H{ql-olQA5kJX^+0~|t9}oYDhnyLz4OIWo4CQe7=Uc+RChIjPl=Zvcj5z8@~k`7 zMk~e}5%o7jXq4Q0ror>M+ozAHMuA!=f;{J|{&{x< zSGjL*6#9?Ep{jOh5NO$!3-#s<+Wex8m=7gME%Q6Nog*-4Q)~=(C}0p4LDOtjszQ8i z41q>SuU=9hEx%1y46O+BI0-koxEEFk>wA=)6-K9ZIJW9_ZusVN6ksR&WpY@mDgU%| z>mqNcAaVvHrDluTZ8+Gw!+<`v?)cTX9cZ-~e-|Db@ewRjZpn|y#%nD)bc(ZUw}#lb z!af+0nQzuYgu*(lEaGcIr#VF}k7OY8foi)_nKQ?t^v?Wlk_&~4(Yh0?dwo2jdD!9u zgj@2qcaUd%=960v6CB!FLf)-4ynN0L^_Z&F`5hG$f@ED?0%dE~CpTOf8 zt$vuUUnmWa+($wTfs5X;24GmqVE6hk=#V0LQ5x;Gb9zDT-ew8kXVAmvv$HlbpNSnP zwRk|9H+&>g54nith_#NDmv?wU%gZ(C*8~#vx7_6L4=eD(2r?FEuppadIuFqMiYsh3 z`a@*CbbGg2CBl$=eP8xtRR%PqppcftUsLzsgv>J$)}n!kWGP%c#;XcOqxk;zl@=*% z-?z^vO`*`(o5WEeC#xKVjtU)m%~{QXd>W~{u2{Wb8B`ZFHLj!9J(`Rw@3)HNzvJ(( z>B<4RASRY{GA?9AdE9X_d{`mlWw=-WcU%{}4RfZ)xMFd8CoH-%9f< zWwz1z9Q_coKgy1TLoj4%m*84ET*PHA47-zbUNQZtB_SUrb#UNE`7@v8IU&mKA~$ zOU)G2$)nD%2cOt~{^NsF?ncG|@a+<18CflMex&ZP%5MX>`y-RcyW8NL)*{6IvqXWS zuW;mGuY)<#v?Q#CZC){%9o;lwm2U{&Mpn0-mW62;2h)y<=-cL~VkIov>u*YXO+ zu9Zlz%^#|33aB-&bc|&5MgcszgqCl$P_`U56cc*W-hgu;@vC?;>NDnArzdxv98reM zzMczMf7jC;UAdAgPn#2=beD&iU#sJH|C*G62J zdxdDlZO{i;V*ASk$!E_(;p7)JGZ1May%nnEL)E);Ytii?#H%{Ks3IoaG@~VI6xp@k zWx5$2SGIK)ynP&bCu?Rsdi})pA%z6n>(~02AbYPO?@Z9E+tS1Sde#L8C=>%!D&=d( zDGd`|2;K)k%BA`%nxud4m*n%`ac}kh$#|tG;<^gt6cPA@3@Ugf z@%Z-u=TmlBXu~TqQt==Z==PGc@c4?p2-P;CF4EoiMoZ%gC!pAF9`O@7nI;yLVvqX- z^QN$1fMKqotGHuPoaER3V7pSGTRRchU$ZO+{CqJX*xZPjS(a!nEEC7tcU+&{&dVZU z={^}JLFneZ9;clYom5P`tYNlsPh3r){n*1@OVq8DFFrs=jwRS3fu^@PTnTShL+CMG zjVxA{bXYxRREFoF%0CDy%6T`APNWGO>Ivy?gJtY9*%|z(MK_Dcl8eeXg+T!}f)YI2 zsRdB0{>2D8{62?F$s6sb&?UsaxaErJOMQ?YpKiTPq2i4i7qt#MDWO~f9UVYejfrw> zNNkv~(I3Z?;T=+I4*FHFuX3r&%1#x3yM?O!{2ig0Fyk-w2_{eTUfd_>M~4|={c>#VP;F?TyYD) z>;`Z9Sd3Brxhs^>y}_f_KctQwcZU>Jkek}n&_u#$E;?CjWZqS`j6w3vnZxsa+ykmD zu#BFX{qT3NS`fd_p+#|t$L+sRAwa@fm#FXe3zD3Tkx_g(3W+Aou}|sBBPWPao3j^9 z@Ag^V8w%)P$&HF29gGm&mkIiJ$EOM&`R^5sM5=4XvA6Q6SC&-8qM{x?^T8{b!;j3U zi&zQgfUbo}j7O{7);TRM%m@W{QpuY~j>38M3vW5>%<8TLt5Yt~{gJk+X_s)G{s=f3 z^a)kXfx$vJ88J;i>2D_XP$#K!pSDt&%Bixq`IC2Wsfc9WtEi{Y1Du7zJv^|uT$f8) zM|NLs>x#9W4FY#X-cGTWa`(dJ7W0vC4L4X#5%w}Es8eQ0#2zf4aA>V@3fO8W=9}9J zUNC}iGc{WMZkNsJOKy#wG(oS?!1M95nnlRa8%}&_pHjAkg)3C4c)0^wi@UDh?;k}f zBeXa!Re3BhzvR^Up8rrT7P~@nB@~fD0~^Bm3Cz>}>r2lcru?v)^%l0+GP{0&5c{p< z3yegPn0F(NNt+RoW)vpmZOVZ;Z19T&{N}W?bj+k7O?j5?f2Zun;4^A8n#k&m^50P4 z7k2c7q~YcVoSs~gRJ9H|rs%!Ys0D=XG6Wt#of*6I|5fVm#rM$UW{sHy-W`Nd*7Ra0 zrbldRv3EMVf~4sKc4vI{WIcleI4m$*de*RRh@ydFXqbu5a0D$NQ1mT|&O{w;&rRZ68Hux^IbK9D47OetccxRQLl7R2W zK)Swv^V6vxXS~%gipYv7pGDi_-GclCJ5uAG-TD%rG8==9$|4K--@&m1Im$bH8+FN~ zCS{Dfa=Cvcln6P)c|KNYgIn`VDwU)Wmnkn3@vZaGByhH%s*s>qRKub!PU_}ystr?c zZ)u0Xu_cF`qrfygLR5kl7jQID&JV!RYf`e=QG&Dtv}4ZU)YB-ng$yCc3iSKw;FQsY|X>qGe0sz5hYm+{nK(A1uziEmQ{ z-x;)}bIW2+{czCV21|V&_g=-|?rEG}j*+M}hOyr%^)$p!2eq>b-B-@Do4J#ovKgI$ zBqbtSO%{uf%a^9_NPmBW^#jr^?RdU+i z^e2GXFC`G_To}bD5e=|CnFncEy!ZC%10!DQf^nAKjd)M$y=7laA4F>M!*8D-3ttpI zGNH>0NugrTX0joy>yUv7f#uy>33igaB40|Y**06;#--7?;qv;j`>Zx^MAU%6sdZiw zLdg=@C^Nj)$08ZvaK}vZ>XXS*OYxjN@=K3~2vDNZlC#wm3!vC#g+jukTV(bqLS8(l zIj5dVOBRGZM6s7XCHn+z2RLeUjO=0St@`p-t`&1RHmU|a14CAw%FD**W_Rlw{S85% z#XlrfiT~XPSFPxFfBM0`%A5Fp9xk|!w|yi`4OL@%jyj@z)$&GxRKi1=?eiY{d;@_ordhyX%^Y1Y9-|0Bi` zp(wN52NJu1{b}ZY^Q`4b65*(j89ROcFPJ;R^nVhdnp@ydBJp5lmaY&hT) zsjshRJ4RcOa^>yhcw~mQNKEM=bPNX=d z(QFMrcd<0Wd<1+MxX$1|PpAX*Yw(5rGaU#+|IDNz%;npE0_|#I`-d!MiX0}_v^GV* zKi=3=z$*zS)=kH+WfO`P;a;)T@du1AT%8&N#ddlE)~~bm^&pq3vn?Ty_^s6ZEJ8`c z)c2}_QZb3>jRS^02l(8db`}y2wdp~PmVKFNDtWs^5VH>cZK09#)%ug{2LO*E8KG5k z_k6^D5Wqz%#W1MBL16-)w49LRON$GbFYI46=(7Dzh`Q3JSW>pc5E1&U_nz)V>`2WP z#`m(Su==Zp5f7>qT2i5G5a(z1f6Bkd@KOHL2l*}F${P(cMJCbz+0|7!LGB@vH4{1? zDw^3EK2&zP0_| zrB_XLt=;!A0o&{Tv5LvXS{h- z2WRnAyi1`PxC0<{4`~|>ohEOg`3&>`Mo%!<=o2sansF$?eTA|$V7O!>um8Y`+}DYK zkAW(7`JzRG3U+xPNrVt20-23~2vtx(v!P10x|tBjnK~4%-~9}_YUtO^4g6{cXHQ8=o+aUg$(1<@Ju%KM}@SgJ(;El?lvHRt=Mk=?TOK<31j> zR2(K=%f&U(8Es|v|EQl42q_Vy=@-zNKXNq0Z36@4b-zaT%KH*|4-F@B4&XsH#uhs1 z&vb>+AYoAq+xRXrtj%hl8=)Yqf5Z`Ez-|uwu!wbO2qAls14)?H9`%lM9QI-ZF}vUr z>X%{T4mr(0!6z-AcFM~b=z)3cH^Zv4XEx?W6&?N~fa%J>=eMuv^}PFknXWJ-45KSM za{2tK|LE%ydrZd~;j9RkL;|uRGw84NG(uba!x>Db%8)~U4nuSp@3mkkgx4uo$t4&?4X10`~M@bi(hr> z$%THkpGz|6#4@r!ppLE@DIHp!5LF7h!P7O??0`v=t}+e#k7Y=f1qLp;NAQe7<>j1i z^0Wm53}kc~Hftugu6B2?^`+NHlb+MO#a%e!_70BAHot+TjI@Jb<=B-4v?4ZGRkwJ0 z9Acot&R7D|6Q#D=ib|Dj_vYLaBDrSQvwB+Bm0WhTJuyCr=GY8<92rJfQr1?~DYSl1 z7T?}b^As+kAY@b@2?L70r;4ryQ4Z$RQS6?KK{9A0n397s)-4Z>b$-Ovuijqi@j0>z zOq5HfXDVs#9D+#&ob29I-+nBAn~@?^*`IZElr)C)$XaEiunoR~dm5Eb)h&msJj^@B%b`}!1T~tgpX#G%%kzie1^IqyBKdpl@V-g=h zImARfA2bqO2JYXNP!lYV-9=u7ZXKApz0%u`&U0$=2;zVurtY%5+U@RAzp70q^o0;y zB=Zi#4faRJ8!Z{=I@GhMJ`)xO@I|#Mw8l(THpx7W4ywLfGA&I}5IMox?>8)iqgLu9 zz{~LS8syy;66n2~L?)tnYw^>;!w5k|#COrQr2IC#3wYZ`Wc;6f5*;`|yPr%AD5xmuW;1Id1#D{+@E?wOA zPU&kKUG^(IHzbD_JD1vQ|3|wWa+PG%aTgu{gDf)s4$hkkhJHZ1gx}g^(kv-?AK-Nk z{Yp))Cn0}dGEouVIdzniY|`Xyw>`5-YxjWf1A@e_xd%4urT;r!Sh)aY7AoDB1zjM> zHt{|$-Ovt|O&{HQaLY{dKnN3J-&Yqk*xC>`G_PhFZKX~?_{Hx__YmG$2fuN+wSbSm zImysx7ZPlx39)din=_Uljx)_Mo;_i)V!Wg}I#U*YiO-j0J{JFuAJ%(K^Ng=|;LujR zqtkuwP#{gcMUFbDLeEW2$%9G&>!)I-vW_iVAzun{=qYaH1}k;nk(~OpcudNH7f~n1 zNc|shHB`n+iEeZU#Uiw^KYdLUYy(&+Vq*EmP~weoM#hBOb6HX3|RZ;rM60xzY!&EbE%D7l$<;bsR>BZTEEzkkan}yRK@z;mAakj8+q>Q zH*&g@%0GP@r=CMlTUA&un{foBULYZ{OI>IFbSinxS7Q^dlH)5Fv}c->`ckL+P4N!e zH8|D5#h=+%9I4y)NW?!)qzGo>+X=u7{Q7M#SH@pPm35MQWh zg^7W?Fi9DtEUeY$U7(h9JR^#X>!{A?N!6?t^55YI63syObh@7eFBHQw$&h+$NKnJr z01Cjrh&Xpj^#jaT?w)hB=8sWF#xVQbsX$}L&s@-%rh^Y_fC(Ox40NJ7C&BM=1?Oh= za)fO;9Y(g`2b?|LzKgX7nQ6p29rrDCc`*s(sF1RKeCeLCNqk!GuN?lfX-zVJ_Y4Wl z@M-bQyF_9z>el@*mPI)7A|@JXGC;y&hVav3I_6$OMJb|i0KF$?wBXvQ-|+ij-LT*V z@c7n3Qhcm0u>DXUlc0$g+Z29hk8V}>&DJvluL@fAsSwWD7%`7&rXrXOc_h-XS*xxN zR(EG`q&jbGX2#SYo)C|CXm_%a<9<$6&sx&dRjAv(o`U45{p0Dj=eV{Q)%PcQA;rtg z#1zsW)St~$fT-2-zT>C~#HLpVCR04LH>X+r?`m@vs8LC>%Nh^?Dk)NPY zUmo-yYmG4Q-^`+TmWGH!HZx689*xQ)ZcP2H)#4`uXzngv9XW;-^P4=pPvfNYP740G z^A3QG2sG0eIx`Y%gGGzQ*$_bn4AqATv9@9Sx}yc&ulGR3ICEJcu#$cSsl|&&+2%s z%8<5nvL5y#e_PajAc;<3&OWH&HgzeZ)<&MW43X3qUY`G5oLqOB8zRUgR?j9+z$pd( zdV~tp%_dy*)*JImb&7ykTjFJV*I9SUj56BH&{^GrvoX^8BX$&NGhHe z_S-4R6l`ej(I6rTAGZcDCp(C$UFD@*dalZ1hM6OMzIMSbKGLa~D3CShr7fCfkB(VI zmB2>##}Hxc8Q03Ayb`9a1k)@y5~(9UGPp@XnOQbwiukv=a#OD%0H)T$%lee3U!awG zfgO2Zf?+pUC%FUHX0hAKipJ8}fDZ z@4GqUDThq55TqRsfu_15CM)57phrxhQh+A*nXvcS4=53ZIDH67Xyx%;sfurH^}{_i zV|MT0?z6_~Yj7!2YHT{@T-iLR2_-2#Tb4s5zpJ=z1zlQ#lI|08l$l*lmLlHcg7nZ+ zG_?cX(KqEn9StnKFjaHFbXm^0YCw*G;7YD7U-lgG1L@4u0x2vLBU`Kp@aP2A64 zpS*W-JS^^H{d@w0hnS9-JCmaIZBWsW{(oo!j~Yg^DGl^G*+I5cWpxIi3K$Srk>zat zYk*ovD9ouk>iF3002|Cl6r)mpP8^a2Ir^2Mv*OV|S#WP`!68Jt**Q`MRf&MKI!390 z`u81wDKj%a)3%1X@laAe+C0!zfhI>4Y#z&0v-#^=n%42~nB8g(3jU=OBbr)C`0re|nm} zG;dUUU+etKRBBKc>w7aa()rVezxu)A*K8s+(nUv}fFwsD$$g8njPdjh}1#-sLg+yJpf!7`6kjH1P&h8D}NEHnwqwz}c^wCl`zdhkks#Up!qA9@WK2J_2{pjavRKtPT=l1_X06Mlf}?-vRuR5p%tv z`z94;ml5X_Y4aLz$00QDDSrDbd@OddiF|*S@7tB!Bm>hnE04+-R{*<~J`d9J)Gt|+ z4s^`s;OZxbS9s8YYm^D|q`0d#Ybg_pm2dx8R0$&K# z{ylfUgzP6@;g@n~QpbtajV)j0VTb&3qB;xB0%5=xG-`;x|& z<-SeuDXg+&_hcd|O{hP#+!D7FpAm-@_U+fF$~if3v0y|ks5U6VdEE)0j))(Dn52ud zbfd&7pz&Y&s^RziAP0@uWZ%jjscsh0RbgOWwwcyG=>L%V4Qxa6iI>yfT zC^x1EL+RgIVcs*+IOIuv4w@IlN$EB6Xi$ti!?E?nsp*ys^!%;Qp#Gbp_%_llIy)|R zdJraGUR(LDdZ?ii36-22U5Se4bj+3uC?3%Ts7bAKj$k2cRBJt;(p<98d+>gU+h^w} zvJwPVB&qxYbYD8|&Zg&VY0QDioPQ*fIZswn^~BgX_NYE<#CrmUL9e#Hmn7m|_)^*r zr-(?1fhRhWrtMrZOhs2q1=!S@0A_(VPadMmXnb!s*q;Q<%GAUE*op7M;&G(g*`ozY zWT_Np?P106nGeCrIlC&hw&m06Eyxvw-+|F>;0&9Y=N&0MaN8nttPSyy2sq=mbjq5( zrcq;+CcsrnaQsfA1#ao-|J>Z?u8&>0PTbw$vHP+f(GdkFrCsx~U1)Cu^tz!NN4<=2 z6GqAB4*=1b33?*0Z(0&EYF7&&IMm>yT>}em^XChE$reG(N-~-vw#egAPco#oZEfSR zEE0qs!3G|eKrh*EPyNc7v@Hg(R?rQka$>quMWrhPw}fxGK_$j+Wk#}bi2s2>#MNe^ zZwZ~8Y4#)M8P1%W$W&V)G93zWxh8BDeC}G@;i7sW(l(x4d`j$yK>RYlrNLK*+R z)@7%oqFXR~6F*wES&Ot`T7J?SpgQ}_i!M7FgMxXukCMxnp;ys&t0Mx9m`lTot_<;S zbnvdo2*#f7t3Xr&yXPdR;&_RN%i2H=TaVJkIa_E#N#*!J5IW-x z&I|m7wI2yfm!E}ZwE}m7dTUwyfHkW0aqOg>15Iv@e`yEhMT(E;m61gK#z@K#O>dFo zA+aYh3eaqPNwZMP{|wQ zy$TqBq?j{{PU7XBAP>``*}V^NVvV0udr0Eb1q`n9UmBDPP8=}4pQ*s*E^xL&s`kA^ z-9`~%{ge7ednVEKq#!&47)r}jB?G02>4%Fp8#%E)GFvA2$o7GFS}ADBF-!=F0>6&{ z|7kuX*AD5|#Q~)cY1IKYx&k0G^BZPBDPUk~(2pNO#oMdF@O8Ken-ayC)xIZt%GNW^^&ja~1Hh zR-A=+Hfmkd0lSU+6v!?4&K_0Q2;bdRYp1EYQ(HQCfhe zfkmzoDW+tVWv&F}kHIsB%a7C$6BUmL zsek;|WH#}}e0(L~&?y1FFsiVx#A-7300_CNnq{wKW8K{T>4CCLM;z(~e8m|;4 z?M7~u=0XRpQ6gn#ha5#KX)4EXm#SAujtdwvcB-#zrt#<;kCuDG$`AlCcJn~#!L$5M zDb}xT{5v_qM_y1Ky_(%|L!g?}z)Kbf`KF>w0iY z=zSPIYX-r)=zRK&4D7vdaNS0jPWNi{5Bjq} zA=wHqpZ|>cG*#gHOFQHB_v#xPwUrq=ch(OrzepJfBdjD5Zb>;*p9{%f?-A^UmaxRC z7Wfh#IaKN(1>hmKndWeE-&ByXxO4-QBHz^~v6Bj7$*%AB2ON0C@ei?yX*=jt+DiN* zSa<{c?xltT-2X7y@Xi(s^p`?3xl~91sqAP`=yuj{`-~@EPO78qFFwLLAPW8G2d4lN zr>0+*9)aWdsvZMv)YErHSMn8I8qAqwO+TD4#88DPdQi!Rg|F-}$D)x@j-=Wu;aw&J z)S>dVhs64haYLy1yTrYod*wIg~O#6ev)aC4l|JhRc) z(%qX7dFy79-9F9ww(`dt0M-_oivCt#;Ua8o3TDtFz>Dp?%DuUFM^F1D)%4XLg`)6; zY`UH?9uKhrGLjg)aSZIU@VMND*ToiqdYER1S6HM08!o(f9_5ZM%CG zsO}Rfsj;B~tCU=7b>KYco`diOKgMi?FD82J*Xlkn&`&JayD}2ZEem(@Ysrn8fj|Qg z&RV0D7(7WeYR%6i(WX}qcu?$%vs zaSYz=^m{}HhwXorQ%3IVh2$8GcRW(HU{=C`jTMkQ>+!JJ)jgLaN-2O~llrG0r7Mlb zQ>tO7(Wy%v;Fw^EweeGQG=~4d-dji2@oej&3kwdx-3jjQ1PC77J-99w?oJ57gS!QH z2<|Sy-QC??-^$+m?0xPz_m8{ZyYG$h#{D&;R?n`kuG#bJqU-CDSvq3Lgw;q;41s|^ zP#IsJ;N-y$RaLucxGOYudh$*Vqo4dg4y0znO)&%`12$y$q8bLK9DXl4!=5h&XSR6* ziiUo4d+86ZHW9ibxf{kEFwki@OlPFq?YL4ISm_}vgxTvGqURp4$;^6KsKjT3bj;uy z(Du6K@NPF74^o4633lsPSNLi!YrbCav2H8BnelJ^%5(FNki?2vIo8QVw!=C^w4uv z8veAd)-qvZ$o~_GZBLys$T8Kg;-N;fQt|`+sy!}`Vig=`&)k+^ed@76{64FQ6MFe6X zB@8?b@}Z|*T)|5Zj5w1yE!ZAR!{jMbOE1cQ#`koU1U`{<@q|^AlIg41v5}oem`*cI zF5<-H&%Q^osL|M$eQ_GcQ>um1k?=whx0ZSew*flaDR-Vbpv{jqHky6hJ9e~JYvm^n z8}GtUKg|VY4jWV<+80k{pV4=>=RiPIr%|X+QRwM?g>2mWSh?{QXNbIa)44{8kTGQB z6l&@bAX#doNd_ki>?CB=jrsP;Nx~TNOzP>9kt8T|9fHPP%HWG@rwhJ>Oxz<(IfcMT zKGYH9K2bf~%$on>&v9sLY-XI@JOX08Um4# z`3HJznWO9)D5Cy2b&0-iK{u3>cfv1^WY2VT?Ku7`bT<(0=>iFXP-jA9X&<9bJ+&!f z38kEKKV9_8r*7^9%NbVL@}%5e-XLQ*DZIbH6ktmHT0L~;1TvQ}|Me?<1hgfpluY3h zZl)jv36&0sd~-kt6?)ugEnd_|XHgMHM3>;A0_79ol8VR+5}BbVNXdaOnD zqK-JlMDkIX+A`&n;*V@twXs`T5i;F|;k9zk47NGUb8@9Uc}@+4!i;|QEp;~r`~B_3 zoaHS~w#3g<*e}GMnDRX!W$31pE8(#>xBW^Fbg0;``~Ji^`Fh&x$o=x$S}ys>ak?B1 zqWBg}0!z8pnkiJMT?#CtBtyQT-xf)FO|F-w4rEaS=t>ulC`gQ_n}dbyA$_0H zpr+Ku=vgT4E0KJ!2r`;UKk9xYdqrRf(KRCiEWL~%sf4$ENS$XQLPrfe+nxWgxM@wQ7Q2TP_iuV31vXu?wB8zr)<5jAv z>|LkC&r%-hMsJIrB%`q(=g1>rS7qF|nYNf)n@b!?4J&5=(*YFP(lp~Xr{Vo3gJ%(| z!wEijUCK*I;}($LL!5ub^}{rDgiCR|lPOQ7MV#vFQSostiTYued;7u_-_dvo_ha;! z9FBR}maI6Jw-=hB{}`Ebyy$xYxgXCVXIo8pCFDD`9$?+eQ=zjyo=z4megVq(*N2Es z<9xbAhISu1Qz{$aGF55Q67s}=O3PHk`W{!oIjrV1zyzKmsd(@-{_#fA+}V#TF}u$@ z_MsSJU80EPi465tz*a;&ezvE9h)F*QvPCU690yVU0)w{JieZ}`Mus+$+h0MJG!x&v zM#P2PGdhU#B;Uc2tLy=lztkg>CSdvZE*Yu_88JIN9o7_lS9%g_a~y)>?~Nu_^cYHD ztROm`#QP4?7C}`IxwdHv+Y=JEo#^`VYW6R6V>?x^^@b=C9CcFlu$dKs>{=Rsl8 z^2Ci!RBeFzc8 z*;}7)MU|S<6+rnDeaaL+BrIllu3+iM21`f+<@YhApZlxcsyelO5Y;)Ocz21A8d<2c zlU`;@Vf6m7RHry-#lsI$S=i+ek?l`cqI#*@?2MnGE3}}sC^|v$coob6d0Rk$l4Obo zq`239=8F`pM%7~cIT}3NLrGMp#1VdmNCK^vF`|l!P^2TFV&tg&B^Ax7AtKn%^`;4- z*a-^(FV3upc}*haPl_kaGXvXuVGHlbqHg83?6(B|V2k{+H z;;OgwkvErmg5zmK%ut9Uzo8mXW==0 z9EHt83RQReYA2!GD~xJACYp;_Je)N z-RS-7GfH|~sW&QM!&=nI=!2;Vk2wywXEb%_K4^r0lgaeB*kqCcmQHM1zLCYs07$M` zH8{Obe|423_HFx64pW;EoNf)bX02+~C_o1BT*b3b-qRn@oDQ+EPh6^#hkW>8K&vtA zN}5aIG$CQEe_I-~4i9vvsbc&rBppb#(ml=w_eoNd{x?UTZ3Me2Vm=JFQC-*XWpF$1 zAn}0p`~Ecb#~>H7!D6Ei%Aw7-uY);F;~EC4ByDHUQvGe8ar8|pn?SqfULx1CT!Ps8^w6bAj-G=xcX-5TCj)p_{fRwTa=Ul1IQOMmS1={s9#lgUZJmJ65mJLcscLMt*C zyYdkA-0PlrD=AJm07C}wg&?#qhc`L9w$rC!kHg&JayENAkv12@#&@Chp2K95Y zvQWVYO{n$yUAn+RgYEKw%7;%bw;Ey0-7<}sT^1(OUP2W#b|}T!F(s86NQm&BZLD^s zab&h8NxEy<{J*Q&nN{*x%$I3A=%dJf?YOpdIyiRJ)AK_ImBrx#tnV0?Tmv~zRvf?g z0F;t<JiRCqn|0uYJA+w=m`e$nN2H9-}GI(4Aqd)jUSo@I!7K(;(3(U z4%&AKKe{|mr2el-uF9n76$Q`C$^W9-d6JU zl%S@;@5ttQn>7?QqSnmyKvENaefs@dCZoTCd4hVLkP%0E*;w3(Nwjwkc7$z9b?}X( zLa3Ka(=*}=GzRCT0-8nJ_Rz>)$*6v`Y~=p$H@Urw)Cqa>BlSyN_tixzXSInOO{3F=H+gM9_r21u3ld+6BYw6lfHlil&*$!jnf*1$)+9^sH-ny znsv%23}V_G0s12~OXLX5P5svG2UJaW+~juyTD@D2>y;hAQ%r@I5lR+uOMm<8?4$e7 zvJ+D_{lwz>-ZXz}&c`>D>303`tG}I3G z&L>!9qjNKxZV3bxF(BU5B<#>KnFiKtaRwg;ex||>Q3TZ7iEzk2^P5zsFi|F@sQBj0 zhnT1%e%pwRM{*wZ-A{Ctb~Yi*oEXZCuavU&%B2o+pW2tp9&4G{Ghio8PU>E&&a`$p zVPVejhn;}18{2Vj7VM9YS+450Uo~$qns{!42wai7NP7tKDrvq9o|83maLbU zU%5^TIjf`}cNjNhVZ7oc;ynF=*0Dk-*wmE6;CLVlI|y2b7j=#yJl#tlj(=i}&uR%s zH+0CkSudM9a(o!Zp`b%YH2Ao{F4E}m>k2Evrq}?2YqfPjgq61<^8Jdn%WqeK%zL@u z=GN-e1a0kru%2NH8qKYJ{deJAaydlL{`qo=eRVA^DCyeyfsMN;?^jBf7_s*TzJ$5k z-R$-jvT~kwYmaH+i&96ccq_)d*+yQJebPPt~5ngR3Y=&Qe$$@%EJtl*)(3qaY= z0_**L>U(^pjf&72%({iE*B=NGesMWIZm-4yG(Rsy8-6SkWWrXD9>oOwia(GskOxxM zQ@j80moc|Nf4^gGnX-eS-0&iYRNp|Qqy%2u8F9&m+glqNA;W4^!=L*6q<*`M15M{Q z79W<(j;O%|idI@{DQ5V$61V;S7matPZ1^t8zwGP!C47Zgj8nWr2D4MubYjk6mL)Sb z>>G#o=TR_~3ZjZ!l8?@QrErJ1aRzi``w9hDKCK+xxD-^5^MCL+7#mcz1Gh zYi2*h1Hzkmr(nRmOlD_AvJl?(kMw0Y0@HSV{|9#ITt8{EO-?;z=nxm*qA z@CWb5kFdITd~@xA&YO_z?Fplq7WEPpe8x~g0N(LdO!=Bnqr0U8E3!9#d?aqLqd3}i z87K?fy!{}IWtIJ~xykf>sCDhVlz+dpS^^zNU6O$J8wlEGN12WPx2$V45p~6;3L0l*AYONQdj(Pr{PNz zQ~OZcR=$|0h#yM;$PfHgfE%mByF;7p!Pb>FvUHU%pCbz;(=2zth>ysnxvwEPR-QEN zEP-L2-|egu_)xr_#UKA+`Blj<<`hF58Ah`zGqh5`qjHQmu|Bz_PPcU9ptvP;eIBOd z!=7Esvrb@j_gfYI;(#N=_Lgq!;TNX(sVO>@qp0Jhf%){LB=8dI)NSWcU)rF5HgkVI zw)IvcmM8kkH*eFWl_Rb8x^0#_`~{Qk1s_VSW#%D7M;^JGzQT;*}Uv8Xyq;mXQUq;`jVOvRL*>Wl2_|W0)cj;7EO@j7RZP5X!w^;b;PvZM7!DMzKefve&Ex#i zfI!BYcBAW&K!T#3llcDmK9uZTNp=E7^iB9)42QYQyo_4s>h`Z4X)KKFBLznXL8E>P zkM&>TvfG!itQ=#n;sPIaIu(>|%GLy2+Yy+KGu+23Pm~O^65f>?wAt~eZ9{U-9ZU0x zG-aLjun5;LbX6itjr-q-OU{cyyNOOljuRGm{CCG%-2ch3ma4m*DJippk(G)Q@IQQL zk+QL{u#qxLSlT$5I)L{!hEArROpQS%;FR*D9Nd3SIXF6zvUC0q?zGa%G?#1%c+tJr zD%Nv?#8XE2;gQ}|+VI@Uv;AJ|qgoXw#%u)MMdseh02SB_+6$y)4igr?2SERrr!3J- zROv0bE;V6eQJWlt&xgjCPWX&_O-m&plp-AxWjN`c&mfVt(~*~a`Ptg(UB2M!2lh!e z#-v_5#$?A7%U&;lTs5GH>vJ4-YXUqO>!Jun+{f>V?<=4%wB5d4h!S`iMx)$!QHFi< zmm8Hf1OQ$YMQ__BX z9;hM4Mu^1Hz~97PEF;}m1Yhv^h;*?g-&;sPkg@%SyQWVfhY8&3d-qar;A8k4M^sj9 zsly#68jjm;^z7`oQfc5jGX2)^33@Z;B8vMhGNy$YNkB_r#O!z~ zF_#tt^|3fLy`15`Le$v3!*Z=r?m8P*o)Vq!Yqfi&{>PeV-!2)dLX&KYB11>>)0EWB z^h~7LTZ^>|*UuEjz941yGw;Se9e(BT_PTp$2awCOC#m?92Df37eVuFQq6mKcg7kGV zd&L}IL0#?o+gf=4)*QF5u)AkSkN?_`n&%~pmh!2juR_U;la_GZNX#U&j5qslfw-aP z!vn{so2md=8u3Sy!u#OII&V!See>a`rZI)Sv&ep>`s2fQww_HZ8MdxTww$F;nV>Py zYTC!U`>n{!%8KP<+Ph%dM7B423R{+;RnL%d_a7k`vwGtH<&-Uv2eXr%T*|`a?O;{X7Gx;oauE`{y4)y)B6Y zDzf})MPYu8_vt_0a!t|r_wapeJdTP@eMr%FJEW4JC?@t)M6i^7bci?9MSU~R3budd zh?9!>IffAq_KDpgRy7;6Bt`KLC1M3B>fHhSG+;(a`Y^c?^_3Lp@&b|PV<>7F?p`BD zZM1RtYI7O(0g}drL^2^MG(mKtrwQpXnlUNBvY|Y?T=>TD&E}d&cd>7+*NL9$ltqit z2SuL+w^Qf^7vh$GZ3o?a$g2EC=%|mwbV&<|9o$@&iM`iCYLma$#=|A6ks$G@quMKW z9W!sauzw8!z17*Ne%7B9VF>Ijo_`{&wKZw5ESwNwYEPb!4z3|Uh3U5}#DQWgR8huY z?gPe7VtmlUsd9*X(FfB!C^`5^3|T8r22V{bQPLv{=3PXX&+Znkc@#p>@C%=H&_}&1 zmk_VxF;Rjo#kdh+{V)Q97rtlRP}0q|B4jCZq$j>VtSVS2)r1=!Rh7%Tu{GvA_+2X) z68QUi~BQh059D+dM(xXF-w1VemkBC*S}|1lsq`1o}xD{1|L8%MG|0 zyEk%GpDaq+qxNpfEV;}tGNy<24bGaHm66}4?AbKKn$+NJu^Qu)FxQlOquF+a$O$%S zY7-JA%obwu<0c3edV<<%WScFM);&?~te3!JE(U+Vr>1;za6YjAbj+T#B2?EpV9c!> zl5dnktFW8TH$j8cK#Z;mn`rNQ7BBx5*9gIvP6ZVr!h20|QpbdgM^-jVTEWjqq^~ak zS}|EgdUHbF1iq4pnp*);A=kXf-l@DBLuNikna65?&loRj;o1XfRxY~i)T8=L>rgtz zSz3CrO!l+J+SYGl6<^&jb}sq88J@_K!EgZt>`k8dQKJ&eCf`kfH=Daa#o-eyN2@r7 zxx?a0)P0h{rS+@7!hM{^bENP&Ugi}H$gR5YKBmHLXi1phwI|d5YYv6bZ?6wh`wKP> z?M4qi9K_rPm9*__p{+63Qe-qwass1hFQt7!8oLVX1^r>3EL$=5 zGwN5qtW9xFOo-{8@7|JHyJA{+1KQT>4x7A@`V}7Vg!gcs8GZXJ*x)iDx@(d-5#z2N zzOF&W(E!GmH#D~HkkV2LKD5JXq8gBp6vI?_77AWUHa(6NH*?J-D0LavmZs{wwio1= z*$R)5yRS$QLf;j8eCtqq3G(yRRG-23d8K~qYQJp1H<Hy5~k`)IlrMXmZE@RmEMl``C z6s70y#10f6to)t^T^F~V>gCFd6Ne)S?HZqu7HeJQxV4P-kTt&f;7Ak`2|ghAe{j@m zV&-e~X~UBb&iHWDY)}iCv(%FJLek;Wiud1`1M7bx4q_l%CsSJ|M^X-Oq%tY9oT-VW zp(x0WR0n*_LdwO;M#|00Myf~3tZ3)}PDsk}XKiwDLS<7&kh6oaDfr4iLv3N5gGHY0x zI9ZT#a)DERHnlYe%N)F%EFAyIY3SSh+dAOAjD)lV00II6@CEz_c-sIk9p`3g1^~#( z0q6h#02}}sf*$}0mQcY5GQpMrXs`?cmbKH;|Lg(80KognLqY&7I1UMXECdb!!b9*6 z+#l($?JorWLf|h1{zBj{1pY$c|3n1j3?0nDyvd1F#?ac?5sU!L52(K}3QRC_DEtBa zPq`%~`foB62MYiY6Z0?mU+e-d?GJ)~v5Wr`%h6vp|AoL`2>gY>UkLn#z`qb+V`1gy zW8vjvTZ6%Ygq`9JoaTUj(l!{S*j>Y3F1KvL*eKp`j7T*-4Px+1b*B&&<$_)5yexlhMeK-Gq^q)x?m| z(1e|p(S)7dgqxF$XcPo@7;_`x=U)9{HonEvU(0|%i$51EmLmywlM^*j4;Njr~nE$ix z{|?6AV!(wDeBD2bD)>s${|~mml>A#D{{`1yaQ#~d{9B3tDqVlU^=~2YZzcY#bp8Jf zu7A;8a4)7HwJVs+zAXX70I)DH?_i)|-@&|tgN21dKtlv`39Iu1@QZXRAfu}|U>l2Xz#DynMg8k$<##wMm_<`$M#j!w=lu5RugfnS5Z z1%D3-jfstmPe@EkPWhRWo0nfuSXBJ0x~8_SzM-+HtGlPSuYch8;N;Zw%-J(iI$_(4b++ zSl^+GD8U-qW014?!(oa>XIFK?Q?M(aV;MP2AYfB+Y<#%*W7^*=`(HCG;Qy9o|1|7h zb}a&spdi2x4+;$+4A^QB%d!++TBV(P7Poh+3)F9{4J9CLGj2~BiP7<6)m+pM%v#kx zTpSr*47I3Z2qCn1zbvJ@Zt^k_+PsO1rN5uSbDGHV-pZ1^MdWc)EeDA-1B|ICIwD09gITrTg|)V}$rVNmmW= zO3CeR1-yNZqpbeAS9uZPgb$~a9?a*ju1~{xNS};$M#|c6*RC+s&g)XCVl9i^EYI@4 z>6}&m20CC4?K%_4oIB91g*>Af=H1)s$#<-FGPWFHj_Zve6=b{tny4+ujJ32gBW@5I z%V2W3Tv+Cpy?^2!ug{lJxw$~6rEvNZtRCU(^@bfhIv4B7P^F(3npo<(QdaGqmr*DX zxLN1j%;^}IUver{j1W1!wu|0<=O976_Zj4O&!5Sgp{kbsKIrcAk%0&HzN(k)?Bn@q zVBP|ekGH&@=;O2=xfWcHeTlh+(Q<6RRo5KnQo7F}L0C@+F)sSbr;mPW9vCt1yESFc zAWz~%n26K#yhBUd3|({s?T0AzH-HmiBy6ieRZp?~U8=Y9bNaVzH3`18wgRnuOFsGL zbzg*ol-&9sBaV!d;HmcYR&|^5&sUMKGG!YTC`${L$b!QzjI^GK4UH{zPomvOFseaO z6bxETj|e-*EyjhK-8ub8T)j>qlrhMIYpjm3&AZ#)tUAQR7p<)==$$1cWR_-5PJVI( z3=M1&7YWcsba0&`4l~`G*?11eOD;DKZvYNMI<*|^gt_)D=6je{mChysA&Lu_ndxdB zi^VTN!|KII;iihB<)?t(XD3?t>VetT9%aX~u~sY=kKWmhnWJ##HqL7OL-#2RcsVIqj&EM z;Y>cW=Nni%T8%juiB3w?W$5>G_Mx15hJKs-IPUZYK$<^XrOH$^F06jZKm;VKi3Mo3 zUt)z7S=aOBCl_cgQ_5ne6G)IS(6(q5T6hQ;024-%wwv-5(E_jD^GDJ_U#M+sJ8JfVtbP!Ew1QA) z<7(K?NrSn4vHDh3JXUsugCD=x7pu#)r|FM?!rCV-*lLj@hK3Mpxxjx`-lS8XsaPOi zYRc&PaKmSSxWB4iTk7Vj^(**fa(6ERpYIHKh@36jsO>jZNqnzPYyu+pn=tkog`rlrjzjURhufvV@#4{ zjd6(8#9j~Kpc;ab2)0={eLablaenC?cLo&rzITp+{jEwf&!(PboHg|c+HQ^h6N`sD zTtlo%{;kymx45M%)*6}mv$mY{JrPt5U$l&%X*!_7V>~@3vn85Tx42EQ%*~tC>xb+L z5Hb!E5)48X-O87`TwZY}G6?iUd8w*h>MWk~mK{5jwsv{1PZXE#3FHzLr`9b`yL9(` z7n#J$KPBx888n~Ftya$UahrEn9pG@`J5Ss7U5E+xwjCI%AJta(*JD`?Z4#H5=JlH~ z+E7^l7Z>@9zEGKjzX9OBNRk9Oz8K&jc-5@ddwZ^qZJQL$g)4_W%f7xp7f)!-@21MZ z&au5yW)rcC{a8ilK|ypf>KK~YndmxuD?~d%*}!NCEYPhChltoh(3!1riXyhc7Z1uS zUa-6~>P;>)ZS_GX+-YDZGoj(s>|OZ7Ma)j$#lQ!^0y^zfk7{ z!5!4=vrSW)SR<3qc7oCtVaQGUH5*AG9i#FbXN9;MD^rzNEwyEJ4haO7BbEf|M{fYA z=VXVw?i8%N(GVXd=A}jm1$X_S{l8}$O8hg(JRGHF^L=ZVy6)bitbb10Gg9ozows? zzXfQ-@FzkKayw}7cIsYD))y#X7Qj;fTr<#dI>>u^uAN0I&BLKCFzA2u?pCiKe~zH_ z5)?eXQ(lUyXB?y}mRDH$>}*i6H?PxpE}vr!671HUmqu>FPTp#Smgv5RJ8G_@OQ_z& zyJ?nVTlJKscb)4^*m3c`3)9 zhq6tWBySz{ZPM8pIMecQ4P|zE(gNmwn|)o?5||CWWo8w71GqWx=4;>kEw1X0I<<1y z+jWMk=$uNu0haL|Jf@3OrW6i)c{J{7ulahMq`oIMXhHCMY=xWL zT$ldl7$Nz5;a#3;$4sS}th~{tNURdol;2ZL zpQmw~Re5%W-l4>(Y|wXIZBp?DMb`!*Zo>8Gqfp-UvYiLLfIN-lwc=+RCt}jcn$$Dg zbY@xEFwft%UmdXF$i(~kRRLCY1sfyuPfV|S5{=E~Q|6ks>f1~t)VACG?Z&FLB-pD~ z?7enC2H@#tyy=r#3%`jO_(db{6KCba&^p72KeJv_7pesv`;tQR&FscFHia3{SKr`V zl%=%McMSZW=4W%5@qW^fFCstF(f#&CXkNEHv!u2AA6#Ehy3HBq<;2R)}PmW&XS4-7-&Dl#PnwcYqBY^m5 z?;zZ3{;ts0izB5iMMWWWbybR)=}xF4FQ5`3ndIyX!tT!t&TTOnL_*jnnyNrLADgu2 z<*R$6Tw;dlgqf_U!3gcUlicF8vr+rG)4epGTA#_($+g+c?cQT+YH-zB<~zLF7%sk5 z(#%LNHg%dD!vMeWvP@rzZG!|c7pr11r;k~CAL~k)KT9BDdt}OSo(%liw8+&=I;zO! zi8j846@(hW{IhM^HeF!Z(U+LaB_8ZsG|EhTd zgphQ3F6CS<-v^uk$#0$nc--M`nT$!!F*H5KzMIdUa16PhFqF;7)nQN6))3&U!SbiQ z0Wdt@05?ZseIB(DN0xlH5!{&t2kK}YF)*g+GHU{5-vF1#lJb5ko;r`O?ZfFh2EI2B z&yibQeAs~-V`yM^;EUmp$BTq_K=LNW8Xwb~2DxTe^!G0(-5E2<8*9P%a&y7gsV^>v zpMW}!rO}7#2}72+4*m;Nz{$(p4f}ovYwV#-^*l>+BnQhTy_uEPR4uW>F~pajJX#3A z!3LEeBM4JUgo1+m#my=4K$&Td2zt%BS_YtR4VjCzcRvGG^z?d$oddhrO8b%Pt3|48 zysw_{a64$j8&zif^u`x`MQ>H7JclaN8at7kIc>sETa4lzgq;R1blSAGeDKCmy0GR2 z%)@k|L{$b2aE;8REh$HkiytH_HAnzS4Vj=1M_VG*K7Xj-;4vdrpv}FyJtP_Z zv#|%3sVUD^;J}f>)U&xzx^tnswt6yEX5q=zb)%6ZDE=u=;$=P3PRu-3*5GKzce=pQ zy9Fv&q*%dI@MP=WhmnZ$>wGbj9#H^;-Za-$z&r&M&x!WkE8*0$9<{&I2$gKAAn)~1 za+sbpflPGnWb^YH9Y!Z04MoC!#J+p;U8R6HQ}M+@(~uQIMrYO=K!R%>K(>&9`NbHP z7jM*Zj^ur<HqAhRwZXx0s<{CXZ zMy(q}fekDFYiq>V`xvWzF#*{@B52KKp)zI^DKdgiNaqZ#jj9FJRR!^op1?f z^jx(XE>PM%OICBG?LFD$$a~;EDr8Zn`EZoJ0V)!o(I>q>KhpdxG!(~Fg!nH3wyr(M z=|n+k<}7c#=0s+9tJih5TH3pqvmo)kDa99b8nYqd(Eb9ffkiJazWxw_Pbt2)aoXz9 zPKLz#nIA@`Y|Y@>q!t~6C}UJni+Z&$m_wbubEdnB&2i92h%-J*db(qD7ka0mkJXf* zGKP?l?n`P9lc23$DbH@tIn}gO&6-4N0kaw`@Ug?u@FG8R!6{3UZaWvbwq?$)oqsmU z&3SS4&5H~aJ6F}myO3zlw~lS7iS2gOlXSTKBufaa-({(S(RD!BD?HNmso3E6=2xC* z`&}gMoo|g60z5UYA=d@bbaXd7cNDF23pmPJZZ->YE6#qHa%zlOQl2uYlrn3%!YA5r z*ttbs4&Q<*)0%wtWr}y)NM4_sSrQ4bkUxkz>nY!k_Ct72Ns5;C9<>&KMfm8%w}X+E z@(oZP_6qN)es7hc))!3q7<5two~aBZ){~UA)+(C^K7@bXbJ*}7uXT}ZdGO~??RX1u8mZ{#-$+%ePp%6mWJ-|grQCS;dxA20~-kh>9 zn!Z-p0LNN2dXS8JXav_OVc`IQ)Hb9w&Hc~5qfV|S;(}bx-d_LIV~yyQq#h$t++eu^ zAGm>S=Q178_w&wMJ@ISG*dBEkqfunplTW0I8QRTa^@(tHDC<11nEVS%zW2WO(B(kk z3WfMKGoR!Q;D={=?BbE2oMgzq?X#;+!X&zHv)EoT17}7 z_`8|2trkstcM6tZwt<#jKois&CfsAYAwL$@et|2sndf%d*Br0qCeRxI^$h@6IoFR{ zMD5kdgg=~3vCYPfu`uVxwjW84Zd49GlyMB~<2TQ9?>y2of2MY*=!F+BN`P;YO-L?X z6ctfdE={f4SKxW3ht+JHvaN-p3M&{YSONmXIL9&;PF;ZpLs)2S5obniQ^z)5f>R8f zAY5@^iFR1CT3usumbnRvanOngok*Xm6M4H48K{}uPq-l@GdYt;%3b*IYTw|VG;)!en|}2&GYcsTyy4eM@A$~W}UaC zag+$MU7cL?Q|@l;X8N~Db_M!@M__)*)UI!O@bP$s9!h!i)%H!!+cXGD)W-IAF6!ib zE-_^jv#U>0tqWa>9G_s1-DNuEqunM{H*4Pzr0BLEGcCLiTGR7~Na#aEzo7VmnqbDn zi?@d$;Wi?&NrGna*aBoq&0e-ljFHuO8|X zInOasKkGj-z)@Eu#*iH~;H z346M{hSqgnSKQ+ZUGv?seN~`ePxSaz_)LMN!Q-Eg7)M^(2A#*&cIKX{+-IJKi}%yc zmfElMWQEee_fs{E%q9#d(sa2nig4Q*o=~CrnW%CVA`SSXoW)=Ig{mL9i19)n_61&>M0Tev1WDb`s~YyFi0>lIcxrkk2h*B8+0 zv&`E^*nNwfo)LX3UOjfQz=zjee&?~;PbxC21`+MUvll&;SYYk5{-^%cF`xKR@$v(F-(Uf`T+P;-!9$=8u%ID`0<_X- zwhC3pcM;%tqQZE6`5gZSP@%a3SIl~EfPsOFcY&@OtwwTu&pnrPlpzeLZM;fxY)u*K z0mvGYP75~?Mq_d{cqykQK|-bWFV+gZi#v7gzJ`J+GGU2so7P9f2vvza zDMST9w&p3_T1iV{f)hwXbc4n=CjjHq2HgFw3b%?5eB1Pm)N~E;*GUnxiE4tqkc3lM zmk6_PY8vir0s?Ai!Wj!6imFMcD@RkCl!Vw4Nng`jewwlk+Nf1}xUNf?0va5cshVi^hS+`aIQe}ex^gFes4h%L@eXbb0EPJ?O{hWOoxl7LqwY3*mU+pc= z+k?wWw_fE5IDMc+}+;iWiFQQS#}nbRqZJlZy@)1n|8_;Zu(a zyoo}xxWyl|n!~S5Rj!HoEnb$UOh>`S;-IZY`P{G+?l~ny^1ZLM6b>&%_!uZ==-iFA zEyM=FBOH?bQgPniHuegrtsgs|dWG+w6Wfq`H>9Q~{|3-XaI0CNn>)b>vvH4!Is6_f z|M5eTgGdK#pze*65awKIP2Th|XHr3|+)SCz7;jyFDjG_8WOgMyQci$`h(M?}Lj1{{ zZzp%zGi=@w_afG8gu5#!$122b>ZQbb#QN5Gg=Wx?WT#Wbe=b_lZ3$ebVn+ar52~!N z3+G78GNu$`cqt=NI1{*0aS_BQ%y9=uLo-l`Ej>7IgDi8jR+cRuwRIWZ09H$ca|RZA zztU*Qgmhu>1bI0VH<5iMgmCK4@4l(OoM4}vnKw*hVM|lep{dfLLly^)x>MK6wksUz z`67ozakI7Gw6@E>0lL$ATTmSxG0~8I(|=VulPEZeWU9HG3z zd=eZI8!jw-x81Q4pjBO;HDbqg)ml5O(qm64Po$6yOWu5RhJWv*Z|!j!Z&_GgU#2sJ z^y4$e@$CppPkA}Y-oT2VntgK{?a;>5*xA7w0NVC>Xy@VR9#7HDTq1R&ExTO2SkAs^ zQP#EsM5sglRZ7z^`I}yw{yfz5?RH7^gtITAwi~SKBy)MKzjqM_8}GM4sz|Qyjf%L# z@UV8jJNmQB*`jLti6ZM|$7=`_;FpftXn_)++L}GBb-V>9aN)WVqmyTZ0wV*;Vh zul`wX=DmrJW^Iq@&#d1UhYxmnQcR-7O4xaT1=h?E^78JOE6jT1w$Id{Y_~jT+{wO^ zQ=l!!wWJXx0x_3{Y5y`b3pL@nwSIp;A69>OE$F>frM}j(04++)?Cizz%Xhl3C}K^J z8<+r3ba@`kwcZyOgSqSPcV#cGQ|HUOUe;MlZq~hte5T=-BS_W+6&ZjS!F}8u|Gu5k zsYq}oLalPqc-BO?u1vO@i}Erc;?=do0)0*+>s!f!tbRWhFX!* z+Zi)dd7FYLOC5JY#N&B06+tV*Dm5u7l-9sXmL7}p<@9;R=#B&FZTfM+A+@UY-_u`%(j|i+z_VF{aF` zH-PC%qjgu-#yKR7QNbA!RAm3Vg1`=(%lpVHiCD#{FR2PO+#0`r(g{G9pSygc}&#raTi;H$A+aE@I; zTvY&etZ3<#5(i|=+o@Ry_@sLV9EMPA;I9Wr(56HMfJ)bYWh#I_yRupvpD2|NezE%t zlQfgXOHq?XvUuX}+q{xnu9D2O2ow8*yr~j%dhH3M`581Y@GdD$7o|iY2;2oCTV}is z`iD7z4aB#FwqXf6* zDN_0tU1Ky;8>r2?Bkzs1SEhUVIvh%b)-SnZ zh(idO1ymyNRhHApY{Rr?N!J$yT#nLsL= zQ`QMLAc)O_J@AWfgtx}`Bq`-=C-(PB?T-^^9OUSELi5P@RM;R&Ee@P%=HnAa=QvB_ z1TiwS;$|}BT6pMqo(@3?dp!iVJ8As8AF~=^SxljebU}2s(B`{W_II+N4|RJ))vLd} zls1v6PS?6`Gf19nept+&kR*GeOI)(X=ROq=10Jftt1C&nv~RdRYW{ZQSpYYtLip4( zxYc)JHyK2Jm~av_8a&CHMo#1E%)9zB1T$BiVPgcRkgVjL?Z zRB17;u+Y|VE0G?@QA)W|pH^7<(DLb1ka2vfji+Y9kSou+Q|ZiPsPF9BZokWp=?*x* z=+TvX0_E!In;|^&8n%6xuHEgDx;bSIGgw{MGHC&fJm0RCDd&oNsq1;BQ}blq z&*qDwKhbaz(H=UDGdH%sWLS%-Ui5!E%bL5vHJZWDoa4BzOz2WQ;r{Ypk{A{O0_9 zbI!x0Re*Q`P|JRzwkB|;pNXR%XPK*#0iGyYXnqffR7USMiRBYY*i4cxs}QjhH=+9y zOcWslJCJ~ORBBfTBq)75!&;>;TpARe;~7_Gt~=FOVvYT4lYbu>*+0W#g0to7$3T2D zqd)ITwAEH9_sZmMiA(_+dRi*XdyjNQw{0DDt`N76X_4lIG(Q~A_+oilxTS_G^X!%1sc9N%6s{W?bmiZ5FAN4!Kq23VoJE}HZ5I~kTq097Qo{} zz`JDF@GbXh9HfQs=90T$T82xDNkekp#Bc9%F3pt*-5biiOgl|#jRMtcZqmej6V0ZW zp^YUo79jOZ;l~!!^B%?lIJ7%w2KS(MO0dv=F^cai>EQatOc;E$T8D)4Tg28>>a-N#+Q(LxHz6%vT{yh7Ia(Pj>u2GFt3|oY4$IfPaaXZM!9TVl(iIc$C1^-lJ zo6g}1#kb|I=60pBkxc6nyMm;UgBMi4iy+A=diY}9=x5cm6ns#VhYqfOiJ8Mw&-wS{u%ME;3MYcyYch$LIIZ@7aS`N{L*%c@*9 zDr00IP9t5caFV5HGOUZo*0d!>GfOi;T4I=n63U_gk?Frsj~tyKXQcOx-*vVPb~aeF zfK`C5slU~NE6v!06FRaeKj+(jS^rSc*-IQEqfMh!WH1LaffG-^jo(+dyV}AxGgeC= z*;O&4^7kA)u(*zfg&n;hJ;-fL9Os6X=6`);tb5K-?q~Jtj6BVC!_r!JPD;@eDpD(? z=}y})8u1+|3VK3!@3!3c&a0cG5Hx;e{MgE7<&K)(%U{^0=IJiP=tfZO+-XrKiqw2e zilP^%Oc%)C!3i@T_)mG2=xN)*-{PMCmRI?FBRGvcGaC9nQ^i|^Z)E{`quecZF7s>a zP#`0tuwT6&>fGhZH6)=Ez8Vf}DfLQEgBw_Nae8t#=ffD(5mTc$aH@^g2vtR(f32SrQtNR9* zfCUaySC=(q$FR~U6~$ixgAxma?Sc`KhC27PdERF3#rrb+r@T2EJlK4q#}w2F^7u&- z&RIz>14#w+pX?fZrFEZvVfD#GR5sFgBJ>%G08E<28G9~8;W7S`D|B{0(F|jhfuBq^ zSs2V?J1BB35waS>QHfNTf@SZi5m|WQC_J0;yMAOl&8KHT6#wM&Y3Jc<8ER{8NYDs4 z`FX9PmKFNNy>n4=l#SLfbJiU`&2v}VQ-4G2`#$e{tE3~b4alG+1&(+>d3OilhQh>vJ zW=}TY-&TmSR@KRU1zb3BF{4N6Jj7AvGB4&^lz+I^g_zYHAgIkXj$h~aR!&~Oj0oh{ zN;uEz6XT|kRu&CLi$cDI%`SawS;Qm8_HT0 zSMjkXX%V^HBkrd?xoy_R#sI*xzlZr1r)dIiskhB`9)4~C`m0Z0>cK#>midh z>?L2m$FqNZ@?SWF{{R-Jz6)Jj)}+?3$D#_e*Bzd2ZPcHpsK+=mW0jG0>LV=c7|ZdS zm)e5AmFJ;8D=N$c^L5c2difjSm%lIT(G@TAE9;k_PL+;Ikm!$_NIg(ki=A3J5SWq{ zpG~^Ff^2W?rEjpd|43)~WB#LkT{>30g1{~(yY{c^rJ~_VD=@l68~xb3k$BL$ zfG(~+xOw}&|Nb3i*c(0moxE_@Z;{qX+}7w2tm)X@9gh@^7tQ>RookeYA-(wJW9f3f z5wmJz<)%Bnb%3s6{D@)ts(M*l@;VJDWC(YQ(T$em&*-1mjkTRO*-i<(37*)+6{{@f zMv$_I=|`$XmSidZSCpx5){3Fz>A|-R=0mb9WDCH1$$2-S*pmg6F0dLxeMKiw$#iH6 zVCjUe^k6WOk6|*JuvsB0ZYrKFX(6zCDpgC(4}J@DFhM4}jQ!=ZNICUAD%=8}CI4EfwfIUP)>z0I?HvItmK8ca_v~a^FOV+3!SvTihRrdp7T{i%V7sC_0_tomG~uhRi|%rY$eJ@v@iCr1|AXr_64G zs}~Qf1S2dpJY8L-NRZvzc8a@$KV!s>@ScR$1`pr1l=d4VjcaQUZlMs7;;S46-AtBb zO53P+iR6i{%X0hTeN@}CnG0(Ry(RFxZ^39zv;M|=x~e4Jp3c5^pvQPiE&153<+3yP zXZ^c5YA@dMk54pfj+cHAW4NRWKK1fF4swsTNotw$03&^ZgrNl_76I;b31l)}kX3ity1VufEWWrz1 z{@8{c<6aEpHXmF+0>zZmSfj2-xLq*0YW)qK3Fle54{B>HT$?wvHg(4T0d=~N8(1oM z(>AfQju#%{y?ha3!fHs@|n*7hZQ+A975 zbl}Xb`9RAAs>vh}2M+FEeFVN>2Njk>Re-6Y={{4b z;SQmw`?Y!#sXae+lnOlX{576D=1N=7`&T5fdIBTnZ&Iz!ZDVv_4jF0x{m{XYqzh_S(*FX zg<^0$s#KhF<+S%x{XNiMirT03^oyQAa5iV%WWNEwoa`F`zzeuBnLiS{zBs_YuN4x) z3$3sBm4$ttt1pdL!vZ|JK2cq7?!d(SDuk1086sf2ne?uuc1kBy;%p!gH|xE< zSIuO9T$;winj!*bc=|2l9Ta?Z>sDT+Yr|{#KHAh(>2KN>b}R}l!l3Ox2bP(cCvg?` zfoM<^<@6_SjN0dm8m|1_cbx_1iiOb1IkE{eAYW9sf4T6(PW~CtazHA>%z|g|onpiX zI~J1g+0Vl1yQl|2hn4aP5wU3rqX5R-!%fLx z(?U?WP1*=`mg9R50A{-SvE_^8KR+EeYf)cd1TwcYOF5NMM{ct&ue?-_%Z^#-V{&)< zIpuM%e3&Zo+&G%e%x~#><37+#Z0NpF4X)L!9tk*8ewA{^y0A#qCu7#mjeYup*jZan=eZ zA)(+i#uW1tce~Ta>B=+1Jhl2m0q zKs1-MdARuMQDTpQY0($lFXI{0qs^RmiT+7It3@QsL? zFCU)iK_OGm?E37xLe=CQ;V4*l)3VC$dECSP`b&}YpeOA9-QTR!AKZ8yO1_2F-($|S ztdJNj*r)R_Xm$6d3v|pbtdz4$tLb^ZU7_co7B@N#hW&e; zbiAt^FRnXuGf)W3CnM$y`njEM7w0bofhkG? zOt19E{y-%T7lOGuT-`PU9HYk7X%nJVaIlDeA(}0e!)lgL3-k=L$G*UQDb1?Tis=nS z%5)ndUchL-0_4KS&?@vy@Cu=KlU8S8I-c>}0dIHMt4XlR*d{olFaNsQZMN-l?tZlL zH9Ar{d%@lh5C2D`oX`zF;dP^B(N__i9{EaU)wvdJ!oL)7zBH4=gLLBM*~nEvR&%Fz zzG7>e9LOyxJA&GwR>>EnglrxcTV6u?+&R^D)%CCP`3XNkFi8QtYr#Asf6#H4-#Yul2*nn&hwu$M+gcr*X2fI=M)keE2@8d~N3+psDAquaeDa znWkAaXJIeu)x2YGk0tRg7sy1X1C*k{#?(fE*N8e=;#e9U zi)5=;ELU81$G>6pT}{r>Ph{?^AnBVwk5p?^=jFB#BA7O-zhT z>&JR2PfPR`)pAq78RiaZb@>TfiOz5Z2k!9OG;`)xs9JuFLQ{mB?VVq`O0ud2MpL*G zXq_#8eXJ>E$@pcw+OgjIGQew!7nUT|k2khMVP>G6l6Vwa=O-c?)eu@OMG*|H3_vON zz$tkkJ@r z1YM9y7q3QVQf^D=L~2U=p6B+1le_POk0Yyj5JQZwHL=B%dkK;z=Uwa+)9<(6BXEqF z*;oKLEQL=UOv(Zb(5S`-?ACcSvalD6i>Hc{r%WVeY{(U6Fm;~^5XFu z;P<8*=;aYaSQ1aN;dwkwGb5;>Jb5;D;A8KgsxxF`1@DoV=e@MJFBjKyhgVGC0Y)?0 z1`O;$+l<2X7eT*$3S&UE3=YZ)$~A-_oS42QMs>*Zm3frUO`sb!5i+eK~gfW zS~GOgxqh*xxna*%?_##!5^^Z6rt4O}$=laq>&O4oCAw_^z$S9em<0n6MdqzQ<{u+W;2p+nP}qW{}dAEZbI-D+_yD9utG%O9^Y5b7o(_EhJI3swZ7s3X}lqWIqE zj2;$R2L!d{!nPN*D}PNcoNEogdDW(1?dF-E?)}>m3aPL#3&0tqc3nc57iNRYRjz`P zwtx0~PNtWxSW(!~d5*cq2G};tXhKs1*q+(ni+~?Q&~)&a`#l#=b`(#yJbC52V6fEY zN@<&5VCqqj4LI2cCKl>Q?q+3l>Lm;7>*#%?Ze- zW4hb)JfHIHCGAeRF}qz`yt194cbG18`?(vobywqFqltdP(EL~$HWG2WkAABf``k?w z$m&O&j5xJN4XBt4qjyc5H!waLDJOL{bvF8FE`cCOwFM=!Qg$;+JN_BHVCsmjqWBEU z6_mO3&YdRr#eSAMcatOUu2i}%6dYYv@cZx|fZx3AuKe#)UAjzotJlhq>zgHo@utbf z^t7yfs?H}Ko`tZdLFC!h&OtH#VJ{agBzwy9uN081v7AtI?U9dyI@qS$r{cx?^$%{Z zFQu;9q&P%spFW_RvVK}>r?n zI`77Q{~zEr@&BW!DEGGl?MydS{JqGGR5VF`;lipx)LBU$4Pa{Dl~0phS&~)MubJW4 znDGZ6$hIEI$qFY!D@WV!UWe`VraxLqU)$2(KK*@>M z{pih3Z!TKKB9lL+bEq%fUNlOr{sZt`!e)g2qvU9%O=6Ux?rI<{c=qRyr1_ca^7qOg z-vn;wsjqtk4@^vc9EUZZ&}g_mrK>mgCm(a>vCySakx|g;zg$w9700Ybe$B15N;tjRmDGKDlkD4=r{{FSJv9&M zo+52R?py;<7f;uA_UPfa7A#0}`cO}I;qt+(YrQe7pN%HT%ADh>dh*-U$z5Ub_IZQU zW*x?sa{E`&f9=FQd8d z7;$5V;r6blG=+T+Ee>-!y5qb0MDLz+?f085wDw1cuCJ%(x-}UTR=Kh`MR3_k(N(Zb zK~d-uwJo>Icar?fivKBbNVP1g@-G^XVar25RcMBA^qhJ*!u4$c_BrKoiG-#ze@h$I zJ&HeBE*|?NO0%#ahWQ(vZ)@+ls<7-G!BW_MPIYh8eXo#c=VRxa^jZ-K->XWlk%~n$ z;799V0u0DisFG*|c;G;c>oretN50;e+<9qRjcBxY!#9&fdWbho8JW~y20HC!IrUHD zOvsh<8Wj)i%aG4^YqK=&H}p%TCbqcylj;m$-Pau9c~qY4k&(xIb*5*A%M6s2z3@;m zdfgdMupIu;&K_$!8Ao{a##==j?<$7fn8PrI-+PKZcviC~Et`f`6Ban>1?Phj% z6EWO5gS1JfLtGqRyo81Y1UW)%mvk1|^mP(wT6Ac+8)FRQr*Rt?Q*8UID|wwNrtC{W z)Yn!72yP#x`FbAPhy}F;BVtRXrY6x9cP_ts+Ec#;x*&!jOTXO<)7FMyS8--nnPF=| zu4lHXByKVE@2hOOAC}kg@jWfE%QsMXx_Ic;%RKS)mf@_>=m~m9GZD`xH)?@2uV)}H z+Q<%%pvWOZzpf8q;Y68#4N>mHYrBDHDl`h_Dyq=B;8q-YM8V6P?BuqYz01`F<`m%c zoThxjMc?J*TG@HSoZw{lWn}Y-g-UsAqEEj8j)$}cx1rtVLY#P{(fV0)ol8+Ao8 z@5Z<3CpF`Wl=17y6tvrE$}8JlVd-I29S`ueqV=`E^!Ca@MW0%xxHL~`k+9>YsSsgR zSbNx!9ejb*$e^~dOfN6aJult!ql);3lw(^Krjei9ksBd0Lvq zgn$G0U=|xo#zK$xKxc95&BmrCX>*IMZ*cWVM>@}JNz|8P1szgiaYn~h&!Ipfl*aAS z=oc3<9vJ+}M1Og4hP7vqn_m3AS6!mSOI5}@xY5GBU~BZ=NY-k|a}S${%}q)>%klFO zyv`?*_v2!ft)*GRyw#i9-WG983owYHezM4NoWIX`l+0HFG2tAmoUHXJi-~C zfq_yI#jtpko)XDu^wYqXpVw=~3ciImS~(iDIWhev{ocwGV!5fwBksc8Enl;=$eBt0 z(`q#BV^C6YV`RqP1?k}79B-R!bJfUS%L#Z>OdRy{wkbzV!&mhz%^k9$xh(wzzj!&u zkUZ#jfYM6N`enz4w#J@TZ%_K1Zq`Hj9Mr}xK|G26wQ=e?Jt=KbrM_tl#JA{wUP+_e z-wr4Nh%qop=Ye zyGGlwh94&}uu_IQ(ICCQZZOp8+JMFB!pYBVg7h7cdWsmX80Ig7ARyvT*f#4KaN^Q> z*OOFVSs*(DIBz=()J!q#))t@MZ3f1?Y`o;i|B$KDv!&J1pb25_KXOx!+D#)(ac&&B zGP4afNjF$;0;PMlVlD!{ybBoruN5p=rMHUv? z{+_Hu@L64O*gf|)R^uX7p(78=7rQ55#kg96Wssj;(zZ|2*__)^~A%+bmgSUkx z>qAJq&VkH^N&RJyiBU8qOk3ytO475Hw4*%`%l4-tNeY@1`>>1aYHF_Wvqwh4D=hob*By zw`)LrybiEvBsk8lt!-s{a9U%eeWx`!k_{>W7v3i~A1>a|jk+~1-snJ1|JH*;iO+Xg zX@03R9>o@&+)w)b5&udv&-&ox$ydEL7EHFax(u6qg=Lsy+WiiIOV*tB5kPqmZ>eYm z7Y5J34%@Cp+r%)ZJ95fG2qV3T!HX4-lc(Qcn~?WHMLNEDI>0y~xUS3;TWt5Lp4-c8 zjL`5Q4{MTiHbl$Pj4ucq) zIpvwc>-msmOH`c&=;8_XrUz$|?-~JPye|2eQ0j=(LQv@Ze2W32J*YG)N5&9=eAgy;XX+V_g{K^IwR82 z)!Cb4*HsA4QK)mC?eIgE;&w=X1YrC!K56vda%Iy_&^W`oeaK9#jy?Ka=(Ooe7a@Xy znLOXSnZzd7{v$-*yL7*{Y}v`Y#vD6-*Nl8qRFKzHZ7Ld@-|HFeAG8OAv}^1X(XVwh z>9?AgQS{e^Q~Yy!+oi8pVG`{^5#+E_%UiYF**}ZJqssvZj!9ILrsSZaErbad#lemx zb-{G9K)9>Rk|bokD~(wkm5D=(aIXw7QRs%vhA3Xq?*H6JkT~=Ior&}Xa6~LMi8g=t zcy_mJl56A(zFn#Rq3^7*-%|k&g2ug>D0TMF4N2zz4f6O&PXDQzs-}&C#By2P)0aC# zn~OY<<^AOLB}<`t)qaJZzA`D`zj!c^&Q`DIyJ^la2f<&LRZ+X56TDHviNA`O_z3wb_n)g}hU@LWD0%5WA&{c_T z?#4CCD<#NaK)*})7#m%5U_E;^>ijhgdL`Mn&N;q~p1Hl?;5`2qq>cH4{QJr#wdBOe z{xJO9sAfwbmxCIyHTS5rsLj@SE%5Ud9~x6!kkUX`hq_33+TA(DHq=VBN4OQ3qQ<0k z1i?&Q3~_qDMj#+BP_FN{0)pWbka%0G1!wbXT`To#mWO^A{{y@j7Z-Y-r?w`hS5O z2!b`Mx$BuaA}20hd7ySxns`-f&^gfiXAsM z<@P0TJ5H~)n&(68vCQ+I>>{5zb_P6W)ZS5=#S%Nx`1l{qk}IT2>3XfJxAx-87&W)s<+Fp$>kqT)KFTDqKVS-)$0_)0?e9<6DfM#qb=L?ut)* zpQ9E;#0BC6x?i1q-9k2Sbu>~duYKq7{QC1<{Ox$K9AZ{BhdXNVqKy&Df_>fhM3-`- zI<$fviF^v$|G+daCGN?Mlpc+$(B*v_kp4E!J6}+Yb2;&xOAw8h#bgC(NxZIwIR69K zDiTb=%KRx7ik#!&>fJ5T3|nG}7=?Mwy7pnHhH|irXD+lh6msb8ObwY>UeWS8mkq(k z2=7BrwxPv%i-O$5RW6!?jVXd$yNw&2gIp*eSe(XUEByp8U1e4;9GA@wPW@lyHCkSh zLrh~dq`t2*Kd(nf#xEhHK$|a!7R#ZHRa6^hQnLxfsy$~(zZ+GqM$SZZdz3eLc}xe^&W@cx@~js+Gp(|l*mP1uRsRI&+-Ck9?et9 zfPs7ByK$1NVdzfCtr1bJNtIi&DhsOM(tSHENL@ZM5^){NG?k1u!PH%H^yCXh%b()NynX`PJ-Y}Mn;bX znOoqt5uJ(Aw}ne_7=iK5iCcwuAj3CoK;Jt0Iuiow6;rfNACt~H*K{)!ldq<)f<+=0 z0(G-p_hOf$t)LWv0Fq?!8I5(}{aFTLXMKePT97|y4SSy+?K;MJ^KzjZb=&$(mw zVCS)P`Zmcm4G3n8voCdM6ng%+$c4ClvrcH+RVpV7u0KTFC-YjrJj_^f7CB!&Nib{& z=E2V4flVCmX)0;EJov?{kMGUumI8eLu%D#0>~V+W@NA`49J&3PEYA?5LMr>nu$;Dr zyO$nbf1?T2;b_rTcYzw*nr;(E^OF52fv(z0(O#l-&W6#8g#&Q<+j1e>h-}{M@QQ+o z#wRw|>Q_}dJfP-(0IvGJw$2WfFj6x#?)_rtbUIR|nDdZi#Pb6}Ev+Pz!AscKCE^!r z92K$~cN^T>bjq9QFOm|%*8Mo#@w1}0J5}O$vZ>;8BAw+I`L6|^4d5gG0l`+oz#mm z+50$t(;aGXehZ9+ylPgbVZsYtV!oouNtP)?lpL5-MDsmz1th4?yYkw<3;xiWb=r{p zY(aqQ$spYbjT|n&w6607r{xEw?+duOv%am}@qs*>oodSh(XLA@m^VNW4$iMgJ%r+V zC^5qN%#J)aE!AvbxTVCqxeJ>FXBx=BTai4Qt27p(F^AXq zw#wg&*O>7!j*Ei!YJ1OmV}xR*1l%D`3s-*0*%7mwTzH7i@HVBUQm$`mHqVWzhE>V> z-qu=vP0-T*+HLn*AV8vMAJw+){~|e&!krKUOXN7IVBo)7tIAT8^m2 z)u9JkSO_K;X`j_!IYyoM2%lc1NKpW_2j`yOkC|zbzBksxupFTT1Vvg51w9t6^AS#g zY)dAdcEH`aOH)^cFCgQ(+yoLXktbi|Fig|(3t`(hk(xRVof+i0ow!Iey%2X2BGcwk z4k>>qIOMcgTphOJ5XrCptHv1lBSIo~2%6m|VoqMZd56bGtn4o(Dk`8zOFsEh@=es= zHE~>wN1}{5W{81_-}9DIKL;@KcrW(S5zcoH^Gcvr#&t<%hd+I5bo3R#B~lMIJ~Ia+ zt`<;D>~3~R6f7HxFR^uD`c>!~qXgO9$OC~dn53?ltNql)tVIs*>zVTpz<@!bw2+ML3(w4qb%w{S-Dd4XrkES}&n znnPW0#X}8;{(Ihy^~8T~xCia~=u%qj-vU9+2S~qK+dZ@mUiewg0*7bBxY6fx02Z-) z-zYSwOIl(^>Bl{hJrpb&+OWZE@+>a#tdSiD5+R zBY(yq;>y^(qU1d8^D5V;WI1_beVTeL0LJKu^CUv}9prG%`XOSWze2K*OZyIwBz;X% zV2lkx20xV0$=K+nw>;qACk}{m!{Vz1PIxkrndw_#6KxbUtb3^HL^pQ(RvrYIB)W6| zkSjkwlRtU7wk+` zHR%DkTPFNc6+%?9i2A@} zH;Xz{W8R5l=J zuT7XKpmqI5NBRj$XYTi_kE5<`Y)SVh*KP7&iWqRmUF?=_#r0Y`HqG8|KVidgbn6oB zP&qO*3nZW^STg(IhhJ7oID#xc%TKeq;rkv`^vlK74Vu2MvtaDG6eluH=EbZ>_*B6Y zmVH@K6z=ZpdAzCVO0p61NZT;~9$pErFta;{tUS#RFgQE$c+NdWudwq$r&4&p#L;yf z!@KH$y|aQ_n1JJwt@}7yUn=A`(}YzK1-PBJ{JriHnNVkUZCa@MxQE@F$YY_uOF`a_9tHO<`1LuFQh zUdjp??745V`Ezfy%tVV4gY=Q1?uVpxom-8ikN=+gEg` z>1t4zBnci+4x&qdYQ6yvk|WDo^e5jf*W(xYy|w4u4~p?O0leYE-SC)wg6v7-0M45Ikh5rqsj`nv?KgGomRJ}&&T_CH+M`vmI7=M8M(Wt z^0VRa$^d`krbv~J_D)#G+P6t~w_;1TjLiZ( z-BbIk7|*Y(7MfL*tHGb)^Vl~Nq@0E7eSbTs#7FckGJPBV*FG`U(?d3JX*#sD&)@GV z2wHaSPb61gx;hNuio!p}e5zkqRtWfQ(c@!XDn}Q~ zhmqE&;*lyycI;DUQ2pedQ%O9wbAY>L(SQ|W>pV|MvMu3GfZbhFDTj&T(c83}h3MYR z1ZhR()}KB+EC=Q;Bt3H~*RTDvj4}KvIJ=)xV$yf#&s#s+=i0O;0UpfhxI)IenE_1H`{|Q zxfr7SOw6TQbdWJuOp3qxU&Vgr4Dy zptZW{VD1omRw?({X6MWI$~JwC?0;!}wos;-ivchCQv-4(Cu-r{?a9xX+M;L2m6Cxu zWh*enQQRsF%5?dIw=HlsH|tjx zM1D(_aA0G~nZ2$e3(PfPdUBj=gB4C2x-dc$jz#O?nY(w$3^t<(J~W>b#!F&0hQVFF zunv_?2x+N!jC;xITfXkv0&+dj-wr7K$X2H=A75VUMJBF-c{OUDl)lG)?>TlbLpH8d zbHOB)K-~C)npWa;ORyVPW#Rn1YJh7@sPV6}84JfbpI*1WxU`3a zVP;+ZgYU!hxi355s@ASH>nMq+QVS(sYty#0cf6ua&&r=hT=ucx-^K5sO4??R);1tl zH9vN*cnt7-vOPCN;#HWbMvQ2;qzuFG{(f+xN8RpE`*nsg42ir92oa)Q*F^?MxQqj1suUbGMHzyrv<_aUseOltgO=%e8qv(=)5_ zBcSl-09<21{j+mG4l40t$}6bu)^+IQfqHy%e9ej8Xy`{lo4;fP+fMu;@Vmr^oUMtT zC+7&$g~zwSEVF)P&CFG4rV;fisS$GY-3y{gLXy^m0iD+x4IRO;He8XfMTm-a_ zknl||$^G0g5#W%%Yc%}kbsu_36u?SQeah}eRW4=F{IsRB00Max0j#Y~^A{YVrf@Co zV6+L&MYNw*WY_I4m={GN&xP|0v;~1^f2W^1t%E3Gd?~1ZbXY}l-q)IMMt9`wwMFjQ z?c9C5=m*|A+V0*Ma+a=r>B4amHKLRMW0k$3^HdueQ@#INdg?z9 zwb)8qD5}39zmRLly}Q%Yg0I6m?^qGP?EOT}FQPogD+?f_qgxQuv(Um!^x(J3Xjmwh zdW>eao@SAZ*z3&scr`M$3%b~?)nc!5`v*|vIuO*dSSLJlqxy|_s?1`=)Y6$^+F%s9 z!aZxgqzyj2zsJ4EJt#hXND!acH) z!%4H4GC_tRvM={MRmMfEdIQj9vhn{vocz+{1ExQu#Luj);IIzir~GSH%J?G2KSwEL zzr?VXS+*}f1JK@?JPm102xXtM&jsqObq2={x(%!-^5g7l`R%Vx zVs}aBJ`_$aca2&urFAGkaSQ^FxR}2eK1Yv@Y_{xeZs<)oYE7MDT2^QAXz9|=kx}*V z&^Ql766$dH_S&Ou!m%)Lez~?&>r~*&Z|SDT2`R!GawoORHR%{KgDDVawokCQZn<2Z zmX{W5ofL0dyQ1GBF~~;J|ub{jzw;;CN(1s5nTvFN8vpc%;AJT4CR}9=;bE-K zhf;jfB~4*+3ak9+tIc$aGNnLfC^CO>DRJ6zO31`W>`V2>&&Lm<)_oZw-uvSZovh)V zc4DoklN+0U70~`!TJ|%nPow-8WZfm+B7TKv39GI{LF{s*{yRTG`I-s5dCr&Ce535R0VtYNg5Js4?VQFGj7~nH{T&PCNT-VkxkR=Y_y{748=*o3>=&HOa7Rl|I z4B~1yb&U2|(H&~K@gBVD%Et7aE5<)<7C7B1Fc3 z)$2C6!^h>NC^V)A1O=2SBx4+TjL=_}{%=^;9d9%T4mQJxR4b+eTuPnFbM{QTzt_^b z?BS(lM2+Yh`=;Qkx|S~baI+k(gsU;8W1VhaOY5M!}L*&$xnSNb#U8`>(hJvb}4?Gc;A`udwIvwFlQ?> zRackyBpAYQbq>X!pOhn0y&sUgZJhfDu2R9p1FXqi!mY*s0ME&y^SR$A`Gsbwm`@a8*|D;)E%5yNU<)0XKDP!@24X@pc|5ntMbiI zYmLHS?tKJBHGWgiphpfV|JqKp&9t1c|6P@kA@`LAgqwI+XO=7$|Cs}ponIA1il!E2a=oS8Y|;vA=g)B{6w{+EsQ#v+ zXBz&4c0QQl8MrgKF|d5el)t+XAE7_Rr0@#xQS(hI4hx}fka|T=*v5Ef2B;@4b8ZTvY(rrTqMoRoMn(os(l#4#j`zKeMD=sf%BxcY{jYV*zv3T^U7bx{^>G-G&$YE)v-ks<2dXuf;qQc$PxH1t|RL!COWmc3M6anvH+*qAYK zi&FdwDiTj$?CM6gfcys-qeUnGN1|v6QsMyBhhe(7EP4DxieJc)2 z6`{ti;5=ZGG|{iPCoxHxi=NjQ_|HeAsJE-WYFixfb;}puWDK<-TU&1{a%ciU4xK)r zH>%X^%ErqF)ALsHs6x(|q;6%LxNZeLSEig-@klPa;4A{6??QOHYN}6@1;K?SnXOpq z{wjN)d~doX>l36dgs|Xb6bk#408Y6@P9Ekbfb!XwXi|-SHGfK*p zS?wRpOe{c4Y(gINv<12G@qYFo>wLUUS`JD1qKdQ=wREB4*~W9?cH_3E za@w0%o{G|2Gb@ldeC12fT~ndX=xc-=r>Vvi8xhW1*LI#8SQVbTq*D!BWOh_fwB@eZ zA2lVsS;-GJrkiTH@)rcI46u+4FIjQu)xP?}cupWfY1dvT_Rip3t)ED4Cr<21u1V(whzDb*UU+Ol5lZ1PiF$IG~<{u!-fATx6!Ubb>Z zs>TyPoHz=Wm!Z?PEpNNu@4E!wzy+p^5#3bP`x2MX3}*RYPycXCvksrMwlCuma@!J_ zGMkl%ZoIIs2eYer50ZMxNKaQkZbw1kvNBq(9aRhd@ohVz+yZ*E0=F(9Zu%Jp?48dR z2BIw=r#i7ZFuID;GBb6TE8-=MDS-=1cxg>$|BHJiTe6>^Lgv%Csd8|(ITE>Ix-SSy zKbQe?NseugQ8!6cYti+ctBBWgLiVMYt1JR!+=` ziwSK*3vM6V(8yVnc9=C^AKECFCC#Y%(vOD-V)!0-BVQu z1kDXJD|>sE{{qW`UtATKXoE+Q1ihXBRpe5W`qH$jlreASI>g%K^!Tv@cYq-3GtV1! zsXVP>HGHB#Zk3IUM8dstqtlb9hu%P69Hh+5RWQ}bwaZG^c;dI!QmWxMOF!m%^6nmg z-@G#uE8jyyO#=l^XMV{=^t@6kj^1Tc{u~zz%&EyyqOMYBU1BH;<3@Y?cU6==D+W9U zbYCAzDD9He{|tOwE7yZqVh$iaFm-3qm@!632ABsYt2ds`==94mypnWMlEj960=LD0QsvW7XiVinwknW3 zcig$Hjp+MN6+b{N?YlnP;Z1eSbS`Xn4Ceu}Ic{#d7C42m!GgbEQwDyqI-x)RQ@`~S zd<@DOwDJ7Yi4Tn=_-CkX^{?fw!E8U`=3y2KH^q*(5g0fX&%~)JLk%BW{O`w{1^pgd z+qQk_dC!!)b1k>cd3(!Nkk-r0V~(!HJY`2ULx@RFO03BJ5piMN;ijA5A?kwbIEa)= z!?I=7=L3K8=D?~uIe{1>c9UenjbSk;<&()LiRm7I-ICt zJ6%Y!+FNx5O zqs`tAld>3@JEG<{o8 z(t?gumN)L^*;;UXZSHp#>Uh7^i9QQ9{l_e-H%dR4yZi!%CvE9&=MK;<%*l9mXpHh{#^Ej5biGVt#etvS^>de*Ao~eP4jVwS=ust< z{lLSOxv->u`QXiWgu7E%3->XdfhNjyn?GsIf7c$%G2aex zO-r;SDLJg77=_8I_IvhY=bNfplOU_&cU7U$x5K;03&zkwCo`6@eUY@>48xzk+T?Fj zOy}H%{<2t(X6p$l$5i2CD+BaPTA|y zCkVavHUqPFnW-f3k7^KUoB;}nKNS87Co{T@&3JpOR-+Ttm7GO33L;f zDJ$tRE>}a2haVUgeDtXneDaQEr~UyptC@s z3ts)NHb3|n-Xw;U?$Q?Nqkz4q&~B|&vKEBREZ<(l!7^6-w2EDlk*S79`>+0XX~H?3 zTMOQL+pPaXBpY+FtlQU`X7QJW)02mLgY#J7N*MBIBtLhp=lM1xzsU9*k3V5TQVnoU5k41RTXO@nJabQ$Qui(c)x&(%@B2N4JOkZk zTba2hNqg@YGe)SO3jaep5gg`!{ec{<8^n~omTphbHd-RSb@(L;uidS*EA3C7fG4LK zZH^Krr2xa=v;G-jih)HJ?)!Jxm9?7GKv0@`aO2Kf!ER>_roCE7O;Df{JPoBJj1B}&@%yD@S&Z=+-TOXZd7l|^TS zGf`-qxt*Y7=Hm3CHS}!!g_cR`!o3X68QJhh-!DE3U$cxZ-V@F>+2ssxaIrSFud5}Mp!a{V_a0D9 zyxaP45D=sa(wj(?5|G|OM7k6~YUrVd(7PZ4f^-A~6a=LAASLu79i(>%O?nN|rTdM) zbMCq4{?A?ap8G#{eQSN|`-L^ho5_%wch6+r{qDV==V?{yT@f8{71XBHlY**D3T6=Y zF8SZS)IomCjJYx~Mtx%o9iLZR`@B#`Sc4I66qwR~&|La(U#B{;X#3(-aj_ZzCQ}?+ zQ)UjOTGEGNXVZG!6(@4O?IYCspPtqK8WW|>3ZxT?CE~N*CnxXJWk8+5LijI}jF+i( zpETDpE;lJ0!gf(NxX*g1fiQ~sAGHKxsQi(Y(i7nyP^G|nusIV+IB91N=-+sMe zsvRb(BuW{8t_ij_b`Xsew!TwLTf_0hWH<>_L_uS^-JsYt5z_Qq^-pOKY3Ba9i$g4N zi}T?6Ub4(b+XJsV$QT2Mo?$}n$$s{(S!O6J90;%yib-|C@*Flc>9)JhWpOEit^+X) z6$*BQ=r*jcSVL}quW(u7qxlHhZ#_h^*LYPaUTBbiaFz4Wr8j?aRWzsOCbiC{i_~6( zfi-1)cm&)tUpxfRcouRj===6+byL(!-5PjYfM~CRX4F@py zvxsi`3I&Zk7_rW1S(TbPTt=t*C9FW5L1despGXlvU12%PYv+{0C?-bc7g4jD3m^?b zi! zZEa0syhqg2vPyd;*$yk|EgY)uJgy->@REU_p6{t{N?J(TG*&0!%satpt!}%g=3h;h znUTuhdz{LIe5&R}^eaYl$IXNtrXo6R45$^8gu7NrT?TQ~vvRvr=Xb1|Pi`!GS-oBr z0dNuk1P^WbazK=r1-l`to)UscJ^87IY=~IC5$ivAY&E~ikw~QU#8o9D*$XEkyVyHO zivLIZX$mqgkS!5j4Bib^9bdTa!NM6lH!AwlI@_hRWdbXh?#;7L*1cCzRb45`qZyx5 z$haa^TQt5>n$r|O8$AZ0FN@*!xKk!XXktochw9AjY>k)U($Y(uNL{-g^g>{4KJL^& zFiuHgFI_fBS%0M$(THEz8--28_%GX-hxPWY=|e%2JcZx4s^DxAO>(+pO!e`&dz9)& zMsp6%c%QJQ?_kk64sxaCWZNDhGWI+DbmP!!%c<_8?gM)5y-PD%LrM^X2h9^~p|)X> z4sq8R123GrS>SSMb4M?(mmF zjI795Z4ZBe3~Blnyrk@ge5^mq8nV>bHtBNo2 zvekK*|6Av(*`33|u^Jq#jePR8Nxg)vpZg{TEy*rUOnvvh10WB^@B2RVTf96QEzRkN z=&Rm67CS3HjJgl2pNMeSk&57W)%+{sLn6HaueCGNt0Bnb##nA@m&t=*!_hV5WYvci zWQfx}G=sUVvcg-5R$AI>QH1@-?S}p8Z*5ZsUyu!BNc?c?pu-b0>*$qOJGBm{H7Ya9 zOFbNU2|KH6m`j(i>cy5>Rs?6n|4mF^s(McXtt)eP+WY0Rs);hQ1L5q`RONBLXts0G z?*vf}oYPKALK_+Pc;Ozz;WV9{QH7FhX3Y*ZT+Eyy);P7?cZPgT$u?1Wl?TinTiIJR z$!Lmv_fc>OA&2aS2)nH)`L~KhixOPM??K`(P=_r5PC6{Fqy~^#R`K1K;RR zLJLw-><=)*UnD6R-%XfZHy?0)q2og~G4jtMo~0%dCnB>_nB5%mSyHoH_;d^n4d$a5-?7&5ec_z~7Z(%0jh7T_;D7$0~S;{Ehtat?^ zpZp|?HQbT@k4##t#cpj0_FqgFs>9*r9i{n3C4C%U^`AXsF+U=DvKrGOH~Lp_o0$8F z>BqOf$+k+*tlS7|V!@5)@$-2>%L~*w@S=EN;!pb0uV1Y67l`haop;%Aj# z`k)p7$?zzX-H8Hb|Lbo111ZGrLEX??monqj8wR9@pqj24D^lsWuX}754eP9N!^?Pb z*1hw>4zl3zLE+mXZ!OozD>`M#1!@4P1OR4rCZxyg+*9D+oFF~f{5ZxRY4?pG3^QmG z58bM3eqh4TKvA!gIB?R66$a0;qYE5IYpO|2v>=vP-91e2Pd#+|JHvDf_7UG#TpF>Q!Cu z6;mZKFB^oKU2JD5nJ1SEQoisglRbFhh0+N0lOqn}=H4|tTwb81X2kT&(lTIy#k+c4 zl}2Ann{o9No!o>MZE3di6y^cZd}om-KZSo#z4I+J6F7U$>YfZ-z2P-Y0Xt8k|6vGb zn^1i1^dJVsoKu~Ruj;HZSc$ue``K~xMcvl4t3=H{@~^~LA3U}g$dc9f7XwR?Y8uZQ z#t=gEI;?@{lFn9dw6n8p+#~$jlgq^Q6YFmE=J7S}<5`KwI_9WvJ5KkMbZ~STi9jb= zr#HUpW?o*zo+C*tbg^imxIH2FWNLroFYH#toymY)}X3o zLqj^m)1{-pQq)SSn>l3zj$o^-k65473-vaVbY(V=3+!P$WgMMH4M1N?<&OHe&Gw8a zeZ+xrv{KVC$jfPC_mL$CC6#Fp-*BGA8a7XYt7Zob4`FO$yVfutHYoMG*-YLV(LrUg zpNJ{lF&^$l%we_uG+EPFM7>JiGnv3vi_d7on3HrDW$V93{(G3C&@>sQ;xkmK)1J?!4p_ZK+ zn=e<=17$&uifuP0q%&YhzW# z)z1ennOYn3yQnNd(x)0AA{bEw_=oAm){T4tYDu0Ea^z$#<~_n#@e-hgiFOu)6-&c z|Fyx4f>tkRmC=)>Y%om^rsui--2l!~tyyCYD-ZgCPlu4XC#@?YVbv<xq2V|(TGgP| z;3QgM@5aiwm~|Y@g|Uf$uakodsLM_J1^Rxk@5fO91Oh~igS3A`p58+i(~qM2LNG< zo|cIh8@|d9$T5yA<-Az$`hE**ahHcHunPK=)kv%Z5+iak-i6g z;hyfUKJ%5w z{>hh5h(-D@8@ytgCpM+o>uYQGc_XF_$M$yDLoep>=LZk*BZq{a95Omm{#3-`rMlG^ zT4uWAizp`GvrkD|+x1*=;Ba$3#O=-sWxpRnYShaMfT06)*^?JqPI7@DL-v0pc3S=K zz;a}ZZ9vvC^rz{Rg85YL88&p-kL$XQtqhuLWMc24NNW=A!hM&7B}}r)txwQw19PoR zurQ~wE@hI|4#66~u;`U=PmGIUB?%=?kY2m*>!T=VtU&23gb}0vdb8pkU!3rlU?EWCOo;`+^)IiLoUh^#Kj@y*KxV=2XnpoNv zo3L(_2;!yZixJn>k|Fi(*0G5eQuES$LC~M2`tb>7R6_4pmRIhXd%ZsQSo?Fm>aUdj z?Ce}%E5Eu4Up^YePDG*KUdXtCt$$as{QE=`^u?qH zZ5%_3zrU9h!UF%LK!benx1|`pxY5cjS=UaUt}?yC%>Z(b+0+3GR4ck_HM;7O+OMbN zLCj;ddODwo%%G#6Zh%%xr#nsZ+Y+!Oc$tv$g{nlfhSC^wtpT{|X*Ef$ZI^t{gWMih zqP5m-V)At_U%fEI@x)|ViIDPc5GVL*xMu_n;tYI11=Jb|pw$vOX|7Our>dK(Y) z@#z2;be1Hy>44X0k-VWYA{ z$j}Lp7iif}`j~6?3Nux=soJ0{wBWRc_&O>jr7faJ$5Sxko(uTMRs9iewM?V#;oIstsn3GFb^fR#;V#zU_OGC zEXy^D#@0RUpv00@~N(;e@guo12)JSwkzXssy$>WK0zM*WCH zwh&xBIZ&~k%A#7I*rQ*Gr&=@a<3gJua&FUqaFv2Z)z_z=?m>#{OzhU(SmKpj2Rl~d z{p}5Z4@IHwD^}M5h9r8B{ckAR3p%HGvrq9R{?q8(Js z=6P|u((lQj2I?f^HsELL^k(t7 zh+Flv#B@9Lj&ClcK2^h@j2&^!sSa!BEELvJ<;*Uv?950p7%iq@b~>VFY3eFe$n^4- z=`YY`C_)8@nU+E}^?p{)=OAimJO+xwUb9HX@pFhVN2S~SJILbECQ#t&(Lzv~S@)Zj z>6Q@{V{L6HHKl7~dE4G=phAH^hAW_3Jh3KRn!ympwtRT#?I8yvpZpxg9!G$8_<*BT zCqcp=)1q;&>tg3wOXyFHG(aU`PExDO85qOK1B?&U%a^rrP6wtx!rOhGcjL*^IEq=2 zknt#QyCkTn0MnL?opQa|>uR*mB zpL#{e#|uB)mgC}R#quJrL3odKFfdoD8bdV&+A4fbDee?P-nBmnaqH&LvZ zR#AlP@n)5CdH4|4zI2wG16f(&F!vb|>~}SGAvB5>fD~kk!Of{!pNZVk&kIh#0naBqEXViOqm1+B z;~`()VWV7e^(P*S{fN-~X_-p4yqdcn-U#WA_5i%v;U@vtR1+WJOmZG%6uzV_RZ{ds?qk|8y_*+bE{k^dV9g;3d{cLYL?@zqQHn1@8u=M zzMR)UHZ@sq)trD`bEWE_GC<$i${C7fPNncJZzN-HBWgoT$o{A`<(UcDB)kkQm@4I^ zG&Z`H^zC$AHN>okN~P>W9q|K%wk zz+FF8|GXinR{&j{(G$iLIXmJsZYY&*vi0fxhuiaoy|(Ce>#Ql>#IiG^7UqU4;@Fo0 z@B<7?cG3GbPnS9c$D#x9ytG!Gl%Q19cSorinKOK|z0pN~F zcd+z&w_5#EWWCvFJGjw}!wh#0AhVffu{0aM(1;PbChC$f=HJHEA~j?e)@+Qs*UQEH zz~c%xZ?fX3W;J<}$H0Jfq-E-AFtzQ5dKi91<1s`MEtQw5`BLbucKY_iW!xgjeH#Uf z4X=Z{AbMg)nNA9ne17A{IhSAAqv)|mly(1C>eIiv*qV819_HH*ChStWaHQl03 zA{G?6+b!wXeiorr($I8}@~yEU<>nODkf)ns!?ULOkmck%2xk~iXuSnsfJ&(&1Og%E z{~|CDr_w||d-JC>)1(LP@rs+*GQ9$8^7HabL-?B?`Z_WpIW}E4pYe_s!^GwDLRRLr z+SN69CdDv2sl^T_#--;j9lMtxz8g`FRlGFbS*=yqGA}CpU6+^OC%A_4G0(X`JIMFJ zF}S@rwL+cSDQOGHVxw)ts61FPQ2SR$)pu`ec1ycB5%|Go><8raZ{;QBs+609ODOky z3m`%h71fr3tcU%eW+m8mx_a%Cw=61{y412jIgQzhB<9j|2RU8&)|e z12VTa&u;Reapr+yWJV{K-Nq&g9*lbWPLcJ)2fk=Z zytjT)k8rB}chYm}FTR-KX;k3tIVi+=?ME8f3hG-lSELUM9mlS#KJfHI_h3-siPFDJ z=n}QxI$ost1+w-w*^o0OwmoX~sG3uIl+mB~zFdLT3Pe8V@*1Z=P<83vJYDBMFz>qR zjCk|Ds2ueGKQVsd#L70hhaN_QKQd70&|!|h6Zp4S+aE+yX}_s?$F2Yky%SSvfUFEu zR~p3if^Kq3j!&-d*Y4w|at3eGrZjm82hQQjWJ<&78qvC}Ib4apO3^Q6Yr z@a(OSci7fJRUm|F!J*9F>ZL;nyM=9 zM`nIu5wW>`Wk!rC5CMT!;sU_TTQr<1{SpW_037L5f&fG?7B=QCLnL6=OrAt2+WRv9 z=^jH5a;&j>fUg!3yM)`SXY)kB|OxS00gJ6W7eEDym8V9J$$a8IM6 zYO;jqc-FhrV*GfT*AVwbiAzHet$lig$|})Jr>jnG8LTFqc_Krz<#6H~w;dTrg=n@W z`E$LB*Ps&AhhnCrZ|mJ}s%kx2sBJHdXPEH&rZe9K2O~dV#Mhk{O0o1_=j zLRXaI@7&d&PW7xI=IVm4I-T1;)`4$)+DJLVhZL5SJ*cmW%Sy*FV2<-G#(bx^VocGr zQhfbVJ*j8f4&~=15SiKz#fV0%ftE73eXU|BFSaS@hgqqZ0w_B(W`szf*0(#U>4=?SOf zGKMX7wZ`=EITJa8E^55aF5~xA%nzCifx2SA_Zk5wXa+*H->|aIP{s1x5#!EI zSJaNu0W@;*rpzZCxVeuD%`Cl_D-=kIIeHu<_y+yA;W*hkpN6V&SqW$$ir8`|xDdv0Zjgn-9}nI~x*o zN$~!;jWJAAd68W{Rn7%X?~z1${((q`=VZ0;0`15z5E2d#sN9{0N3UAX!k(7(!|t;7 zGA7OU4$&dhnD%%y8YLgcB+qq;w}Ybd5tqFj_J`|GrahQ5$K zcYPA`eznRIP-A*+LpH^^SsKvx3smT)IpK676F3?CyfJ;#aOc&tTXy(9E|D%FM#q?= z3GJ`$j+|)RAyn-dKS>2VTv@54WDf#Y!>kn;)r`R^PC_Dxz!iqCbO7&4{5-cgx`ld` zZ6(>}5pycy#Acl9m8omu{BqT0G;dha`i4Gc1}z9?g3apj%LYhlQD-}>-cNd*PhZ24 zS!#JXd#HL$o|6^76)--tpApC{Btt;&rf-+d;fR`~_4c5J2-Cixa6S4YvH;?YHHzzW zy?HnaKIXl*XjiQ_vN$Wt##9%c_$J~pb0Uk)C%_q^X~;dBJZx)QZaZz)nB>l{6=7S{ z4F9O5^~hL-zam~#R8%@K#K)QEhjpz!Qw?dIIRWU+vr|oF(JQ;1foq?DR-Gxju1OZp|c&9P>!LCYd|Z}4+Xr_T_^_PxoQv_8o80Xj zFU{$Yjk4UN{yyerQax}pW~>^I3K|q$tE(mXB*5~#Dnxcyea>c~ZhL%xp+P3JQ5IeV zk*DjT|F+-z(@H@L@pih9`JjldTD*l8keCW?r?{AmcCxYE@&@xTeQA1GK}DQ4w|s}geUzNr6Hqn-P>V4#mZXH>LLW=7z9=w>vp(zFP0 z0+ZB7G%uO7eZs1tE~+am=30xfm2?3G?SIBx_SE51g9 ze+U^X^Prd0v=y7;bYBpVPu}Kim%^0{M%DRlOX7Gr*%WPSc)5__T}bWPkJf4q4nNYN z-d6rjbiCNkt9Z`WmtS->XaZ;#SVdx3J1x4NwMU^q`z11yuS;^Td{JZ13CF~}FgXd-*I+vnawJIm?z_g*qr+?lCb0@>D|trvRo zEBEi&nXpn2=Wha96xE(1D95BLbq3KX`+a63k;0)R6$qEtHCEZc;q~*r5qYw%e;O2T z+*#A1Uy6n!QlBadT}oh@I~88%gy^5X*X4t+P29n#!59mUSlWUOE^3^)nx({K8V>=u zXre9O#L&#b9TLOAFGJY5je1jRa7UJ8mqqT#P&{&Cxn8DP`%Ou)WqqLbP43x*`yyJ3 zR}lUg`9G3g0i62~@gIKBk8Z)KfD%EJu@@ssMS5BCNd zI(9)GU}Qxp()AHB-ER_?i{JY4yYNPgOuLIyB4*NJF{KY? z7cJglT|N7h%2QQN}k0bLBh~6h(y!ry=pK0fN-Da`O3*MhbweT{o(sUfigqe1v z)X)2W)sG`}v6A$?zVnexzzTS&DL&`9oF~+Fmn-Nh}+j zn8bc>m}zpm@2c+`&2HCB29DA-7VZ)8M0e3uE9DKO<9#}V8ALMd^JX+OUw@mUP?ex2 z)Q-o>2+HpO0%3r#S6DtxY%?F9{us73hsiG*=<;|dPz2E zBB!w7Icb^}%<-_j{It-|hDEO#e?L9{l$I7!oKt@fnfS?bS*;?(C~O=v&|vCc1xHpI zP3j$9A+J{4Ln&4V1*0OtjcO-%y5DNRf;&5=&$=k z{>4L1Nh40VG!B)j_Ow4WT&SX_Ulv${uJ8Q81xCKz`38U_wiB+QX1=1sdFZJ-pi}li z8pAriDI@Td!w3E><+GFwu(rT?y*eG1TmIqq4Rf@o7yoO~R3XAXM&~=ltn6`O)=a(`)TGkAWSlq|3%^&Ld0psXUkM(SG_{Jb z3D1kUEq4_E+{fAZ4xL`oR2Itnr(CEiy(bJVcSFiWD5~E{vwO)MRsJE~+F=ii<1*8S zxghHgRkHgxI9EcwiNFXYCG$Pq!x&1T1sqrDc|sNW={xPK3*B`jSk+M*F~R?(v{IFdRy19vhV7R zH>OiN*N(35f3v()6BBHX`H74R^&piILK4dG3G_;&rDixjfxx4_n!Z_(Z~9!Kr!P<0 z5=;ZMog#lS`GptB7OMDY=IKb+2qh;bbs*y&wJ6oGP?y%_v**)X75n#t84m=#lJyFG zT#aCvRlp+68)fZK2 z2_;>|M-M)b#Gx`Yb}pv1nyMHNGTxf+uOrEHwN-@@*GjxR2Oiye93#C0jRx4MMMVeP zPFhw7UoDzWe1=QkG5bk&RQx+7j)+=e_*n|Ai%oUF{s_2zA^Dq`o9 zMyCNQ>2!%v*D=E2b??;<^1$@9ARt{KB94f>&IuaGwiX$>2c9EB(+p6lYdSp<7FNd< zLvYDWSPdgD33cb?`mCH2ifR-%zka{b8Hc@kxPNF%Q~EaK`*`9>@rU(#k=9$YSpttD zq;mFXQzc?VF(X`?5?e}Jfbw14Kjg`PpzzhbFhsepl=2SkE&JW$%GJ1VI@g= z;^ewqAdB>OM5^B<*`oItSh;;3=m%!J;wla9L@8t*$VzIIvZB*+ONRSkPBwVYX3eKb zs473-=Op+tBvt(B0Nj=8vy9(RN&zVZBUtR*yzn}<`<0pTb{`2$$%Lpk{{Y0ew?!8r z=dWtm_hSO@has2jJR~57a#%Hb+Dv;^5rRCkims2p{uC;6SyJOQ7%4%@;2>9F!Z|6H z)u^bj-FVS{M|yIFvEaCASr78X*4ng_|3qj!f=@9bg!hj zhXqyrxzvZDn;HRN z{(Wn~mg1gifSp~+CyE+V%lb$u>Yvk)+ecRFxCD5q#M?CUu@Sgs}BZ zel3XR(xiDKo}RD~3B|JA?`JV<_u-~?b{nRfgni6eOkOKnhKyuU`Qa1}7273oxYz)} z5U}Jni4mm}2NPcZY|LCx1JzxORXqWdT>9&wx37pRcB=VN+wm+(zLN1vhN{Y^jU|+b zk*e48GQO}r`ibR&LX2f^UqeT0OqYo7Ys4qK!$L%7#qhxb+s))p1o4MGcXR zRat}89auM6`Z2>Z4Pm2ap-Vra*E)~7nXq-DVO8}rodS;K#pTvRo*t{ zXp^GRK+2iqCEY4369V%tZiRie^F*bE98XnitC&IEEGH{E88yFCO=l#=1#{WNZRs=; zm!dWqxPyLZk^09dd8R@1Q48 z2TGzybp(Qj;7FP|-?me^mMQhPx-*s0cX6#w17u*IFQWW-l6DjW2Xl6c=1~3!T0ToO z2{u6_t;Q$rs0e)?8Jh20lMa3^*&V1?%@^o?w;8)FvJ~8iC+zhxm;|o3?>Ec_-C^0I zi=sJ%_U4vJpn>VqGoFoKwR8MLkRohOX z6VKFf9+#wGJm)H|C$&^+dWqR7Ze0T9kK`)YcqkLbbxU!}I62HuJ_q8hr#E&ybaxIG zT{J*C+s)0-_@z1;_4sW7ZOtPpqcW_Gls|@|sFU@Z#yy__N7<~|-tV*nF6pLKtp)A& z1-R4CSK`8%sRuQ^PD;dg-~p>K_5r7~K=^S)0AP7}m61XVXkJtI>S<)38MXxw=OLHr zZMTHo=8&Z|$+nIRdYbs<#(i&$`x4@PzSFF8RgNK!rQE)r8ZuOt5YntXYfSQ9k4GtM z+2-Dt}HmTyFHYq%_#6`5_6`mUX`hyOFr zC^0v&6rs&oaXWe`_U=F)ZBB!{(prqWR>R1!czk}MsQEizJsXO;C1a;<-|D)8`BoTx z6^VR~TtO>$+_#aeuCj;WRNpxrXNTi4R)jje6Z=ueKY2?#OZ%MtW}KLm$pjd(NjcM{ zKO7eVbEAu!=BE%R&Hc$>k#M1&<1_1+c@@b@BNd`)3wiE6m)^GEZr|~7Nm~4^B;VK& zB-|OkdbHp9SOSDPT9F%;( zR#5qosVR;1A=J5%{OQhdaeZud5V}jNsQ+=f=xW$L2v7<&^)Z_d2qvs|j zwy2hNIMbw>G4~1hKAL$q9@5jbsHBGL#@!s&Z&?qt`VR}dF* zq~`yeY^*Lr3tb23e#x5E3z#}?18xQXE2PMa+pq8@t{?~ZI~AYlLqiGG4|39%)xz)^ zsz>HOy!HlNd?JFqF4G+Wzw>iDpvZI!$TxsM7PmwCQyIt zQ_4|P9}B;vT2)hHALsq-LdIBUdtZPJUkdN!f21(Ul-`vQ-pJ>_B&E(pudeFD7QZ0z z8lHPZ#uXJ<>#Q-xJe<;n*jYBt;;sjG(1fOX$Jyyt$Ky+!*;vMl?tgt_@p$M}Xsx00 zkL^|%+0{F}Cw}C``N38Pf;$8h4@W`_Sgv<7dodDmBwUHvsnO}M^s>kMr^ziq6z`tB z?^Er?UKqIXp8Y#TW^OgMt87um!$-KiLu9H-b86eMG5{&EU5$9!^{!{in`%OeG&c!J zgZ{8M0YMuq=dWhhzBKLs>15{*Cb885pwPl(#Qo(!fI;<`U{6P~2q6+vcv!TTCH*x> zSvsf+aGvhWZ3=r%WnFY@m)CiP^X#(PL@CwIy>%_bx<&l#uB(9VD~4@PfD!O>veqXE zL<8%zOpN;OdAXqZpv0}O89=`4pF*h#=6ckelx-r}ex%O>3HckkqoUu0Vg^q8KXhtG znHwObQjU35uxaFtG>st|mj8509M%)G zIp6Lu%*hG$A^f!JSIaKN)k(~j)QASECEwn(FyypWBY^4rnOSKW@tMU=2bUMWv7x@f z3{%s<+HMF_tV>($ds1a75Kd-^A&}dG5yP_Vt7%>p+T?DpucJ=8U>i@v`ymtWDz82- zKZ~Ao(fF)fRV4;~`J{1wz2pJ_&m|3#SSp+QAN0|JzHQHs2N7CNK@RR|A)6*kz$J*R zCK|_7?Dxr0dv2wJv{2^m_FCwQ@K6t%e`ec0r3@rK!R_q}bMt z#W_~$BBr#1Y;=7-Cga5@Q!%c(|4=t%-R9bXzAo(%oK4;*T+gY0Qw*obCLpvFD4)-M z7fvkNgQuvmEIIiP>M1Q61DJmqOk}gXr8o3PXSGtFk5v`(%IqEt6{z%7^hG6V7InXt zWqEyDLCx)CZn_n|9Q)SSG46J8zP_oQ*HJHv*H2Z)3i*f6#4FACuyGmP#y}&(TAqMR zQkMpr+TD3xRVo*7{#-iL|-}Uw*w-+AQct6^G$5GawE$p)JT9^Dc zx4%&vXgI$JKAQprm=H||oJZRG=+lUi8ASpT=}Hz3`W~eurX}lhh=lS9HBPC;l}c0j zxF1YtBBWnWgN1~)&dk$Wt?MhxVV~O<1{^1KK5hRXa7~%h;c(lCAY|w+?Cw^*J(q^C zvvE+-L##?ZDG(^$AKP|^fw*yW7wrUh;y#I#D2#6OqJcF=uu(}H5EQk3k)?B+6@o6E zX4~YSr92%+P)y?Q(55oGp3mZ|-eW57c8E*ZO4+JPF5gEa0@?g}Ks?>UWmy8YrIw3Q zBd_8MYDkfnkYx?;^2Q(Jtk2y#pSkYSvSI`3-hP3ki14-cZ_2a6QX!*G$E)wJqCM;n zoKCvF3mBTP?oY(TW^Z2PB^Id_KXR4k z$#i~2bUtC7*E8xB>Qys@(yqML_=B3sR}`CISfSmPaO`PrJu-cZId%OV|Lzml^ZWBd zDg(+@8TrcyNWC2uW#lqkce$%wlLoolhApf+7S3W$ViXHjSD(*jrZdwO@@DKaj2P_J@*nPsrQLf}?=NTa8(N8A2-0BX~9e!4xZOz|H+v7up30K<+Ja zS>_UNK45dQgyvyRHJPXE-8pYDOHA$uz9z@N34((bCP`)yf=>2eh0l?C}H`A2I%Zm6T-UePZYC zrfKCW=LC0la|S2nSe40KAt577*Pw@Pzxj z_2=VH3;bz;KP~X51^%?apBDK4qXpE=U2V)=t=t(^%wZmGfCaGMVgBJ!kO7`U!8@$K zwHxE({%B(gi2!bX{J*#V-Mhg3^xJ}edKdqHypI0t^Pd*@(*l25;7<$uX@P&Y0RJOC z5%EW2;(TI^d}884g5rF_p#N+g5YYgcgIqy2e>_`(+(C>W6`&0Rd4PU9CBK&NAK1FP zJB#!3I=b$(p%gc?hLnbH)r&QJ2<+DdrL9>@$KS3 z`}b;ICTU4mOKWkhrwV`F0(d9I^w(qY^77*G65w%iwc+Ix6BFZo#Lvsm4+gdXyZJb} zn|p&D-I)LS1y8Nqpsse#?siU&jK9Co+``GjU5d%W!_HFN+T2>m!qQR*Y+){73FhOo zGzXho3h;p~1q3Wbg!n}Ht@$jN{A4?BVM0$G$D0yjBiYa3Emi23UiSmx=c; z2mQabPryWf+v$I5exQ%QHsW$XT+Q9;DX>WYKFQ!mVqiY87yowRd4xm+C3*jk?Id}B z8{_W|^ndrr|0!!pOIkw3p}&va$@MS0*S2!`*Uq+so%DZntpCzaVD(=bzz%?`@6Me& zlDvPv?|(GLA8UY%5BR^oU8=x;GXB5t_;V-!BP0K5u0PH7KeE98XyZSxu0PH7KeE98 zXyZSxuK$mj>)+j7Ad4x*?gcorzh*&lARKJ$+t^q*x3O>I;^5%ok>CS91^}YEOF%+J zPD4#aPDM$}!2W=io|T@GiiwAb^&tlrHx~^fuK+J6KRYKE=kG7Uz`@1EyMsrDk59%) zM@7f^KYslB3L?P;eZNJEiNOTAMS_7zg7K>ZbRS4eVgsqn-`UK+J}_=!VqxFL!M%fr z4{U(E3%Z4YiFpeP6B`=~$YWyo1D}JiNU%vC@X6l3_uL$Z$%TwRFfJ39`AK;zxz@-& zi-3h|&>cJqN-Am^RyOvB9Grqe!Xlz#;&M;r6%>_}RkUB|=<4Yk7(y+rtZi)V?A_cw zJiWYqe1qSFguV?6kBCorpO}>V;bTfxc1~_yenDYTMP(JTx~8_SzOB8Zv#YzO_uJ^$ z_{8MY^bBfgd1ZBNePeTL`{3~C_~i8G+4;rqeqn$x|I)3$_3Z!X7YWd>TUc0_SUA7? zg>lOZSTISjupjW`7c^dFvf!0j+&<3)c}m3Kqd7)`Q<&`=e+7 zT*rd`uX^^kj{SSTP#^+K4B+Hpl7M7Dhv55d;(JXFQ#88iM^EzY;?kZgA7h6NEh&6l zqW98|UlN>`S{ELKzW<@4V}2zD0AoVnvH_W}X2LPSXg%Eo#SAqcTp4S->`!8Y&P}*K zZ;))sg|-4`uuB}xiM4Ww)YEx6_oV)|CBDGJ7@dZrv51u<=DY7psy)vvcgtFSmd!Xg zaU!*RJ$6s8UqPsR1A_fzipE|T)|up!msndzuI*%tJqOd+Xsuc(KFUbd$s#*`IsvQAFC zZ99a_i$=%3pRJgqbNni{{3V^28n^D-9WKw8vs1858_tvEx=#+xHke*Z(H8A`!Ot2h zY!Q37hjD4n=UbtJW9K79XHfkSmOvp1wl{O1p2!uym402wEP0HmP`F$qkT!D~gs}1-Wws`Gzm^4#fy_u z5f?IGkt+q*E6j#S*)c%gVbB1bp`jXCC)vqr6!nS6?KR&pOA(9AQx{ADBmIcP?i2Ee$Ti&{8))r+>Q zjn-X2QCn7p=h~)F8$|Hu_hS|l&_NNfpgb;0mDr}dhS{deMW;Twc%9<5^cJ7>$ydZv zLiA4#2irRHD7*bt4`zhrmkJz8kb)~BmxY#c|1xf zb&yknYU(#|4y%dPrihK*-KAC*2lxArWHyk`N1fbhjYS~)Yh7Qq?7T-L#ZyqtcjV$} zWSU|orprasb zwHE)f{H3~2#Zys6bMC-(1HReWbT+IBIf=$o($=Z4l{^ZY^{psBp38~45xh2SGh7I< zO%~4$55<88$;Z(-9<+(@ z`>eVImeUw@g0qNFDs$fjNX?wqK1)%3zs)>Z6~je3N68kwv)5GClI*WWZr?a!)Nn4d z5$10vk)VU zJ>R~3CE8?2f5IVe%;qRNqV1s(+N!-kj4sg7H+ti30hAHve{)F(Qm2&do@n+Ua#tX315_CQ}Q%xXmFVxFlpQ}wts~?dZLCm3P~+Y zfx1ghP~lA*7by+Njj`2^;>F{((%pr%j7Vza%ov=>vNcYl;GOOB79!Gyb)uVML)EZb zQyt}7hKO8l8k6Kgc{ee4k<(`Dk=hj}X6hjsS@n=-D(}a${f+DW^GdzetQ&*gZ(sHn zpI$C*ImMZD%Tg{-jGuV#m9wQEysbGrsx$g7ynAul>1gODV{^ckmM=LvmophRZ(Jh5 z?2QRXST0OMKfUtj%y{Dg&~+HLL>h6y^S0kfx`n+%hb7c0Jx^$*V)&TTbReiFLz--2 zHUJ}E7RRQN*1CHe2Qi|OQ?8z~L{FZozM#@K`7^*dZ%0gps}kT|o!aN;5ex@_?JOC} z6`{&(kkBB}S=wHL$2d6DE9IT0&HKDzRWo3%OO3n8xvYn7k~VG(F6}O7%he2*k1NjN z>`GBEwrv!wyDmc*kzs?iO6231{~2wRSLs5(P#55W`6B}Mkwu}E8ao^Z2ZhYnZBmv8 zlOy)huWJI?4h)N|Le6}_rNRg>x4`56) z90(xqOzIw6F>rjF^0rTx8Yg&YDP{J+V=ovnJG(tu-vB=LeAniFiuBtvA^su#x_B?*Iqw8gwdotZc4tvV^^7s zUK*^C&7vTi{1(G)K~vH*J@qm0!ooUgq$_=R$kF}OZuJ8K-{&jC9dyciBS*m&b31Aw zvSd*>z5aDbcI_dJCLSLPxrtBS&N5rhoZ;GgxaA3ej(5s8J597ijOoI%Q5P3iZvX}Q zV7Ny#wuc1TW*47zvmzY3She}d=NMV4L4OII2jAx{0`8h@(fGH_vRAlPG&+!jPPjWT zGJLlixt7%gl=k~C9Hd#{{FdV>mKnQX-ZY|N={;QPE+0vY%m7kvFYVNh6qgh=w!Rlh z9OiZU_^-9GYnYBg#blxtC;9A4c2kW7eeIDmBDwPkPU>%pkmI>|>FEJnTidR|ZK#p) z-^wdrY8#PJeJtGHXl+*$v91>P=_VlaOqc3GqmXII+{V~}|A(}<0BWm``uszIQi`<{ zcN(O4akt_w#l1L$;I1tcDDD=lI1~~rxRv5i+=IKj>$7=w_TAn2@4UPJnRh0cn@MhF zGWX`5dw$<@&iC_`*!XWwi)24Wy~MC*l6R&|T5qaBd|Niy-H;#>V{VB4;HLluDjeTu z)Cp?tJ*!T9^#Yf6LN25Fs+6g}m_40wxXQ)_%223J_HL*nHt5WBnsmrL9|Zx+3S zw33V(Lf?DjT)#AG)JS3Wp`jAT>&+N8==M*#?D_?D(IIt zl(p@6SS{RFQ^v+QafDvs7@TdI(oc|EeFFI48Ev|wLZ-wpaZFldN)L(^Gqa^pm-gldEa!QOYgVto%w}u4Co}(bcqhbtPvU zJWX(Hv_b|No4AHRq9KYkHn&riOO9~YPkW|kGD6c(MsREH<=-P=34Qkf57=5z{T#^z zkIrt-U_zfsGQ=k23Tx#J4)ZEo%TF9md|>+2!^_PF52!I-ce73?Llax%8ht85ZLqdQ zn|7?MPaj5fuHsKfSW5Bj{>tZ?=EU{>K?c{sg^i``-#cZb2P3wTCEljnxY})(X~j88 z_g9xp_Ef~vth^r%5p(?)EvzV%dX1E)g&Sw3m94zxd$T&%H>qkAX>2vLO?tvMB>i;O z4Q#wP#8PLj_I%Arrk#SxikeFWwy5qvug{}Siq%e~cJV>xyUoT2`y|u&YsX|j5)%mo z%1*nTY?gtp?>~Uf=ejw^I_*g%Pf*9w-qa4S8utD@4;Ej6<+${4gSUq>ULHbKoGh_V zt|9HueHhgHoFj1$rzQ^|5)N|}LK${9Vd$82VB=lD@|$UC>1f40^P$&S0a>1VGuF#k z-{wBkLvZ8rIdH23V5>p(PbfF2k*b^ubx-_HoV|tH`8hEiD#TY`s&D!K&KzF;18A@~ z()o1q_dM_f?Wp(I*W-yfD~G}=Q(n5!##rX<{C6X%rt6DQ@gfh;Xa4#qt*Y<`X;=nT z7BIuV_;)%J$wSlSUXN6vcY|Whq`RN~ir`D($*t=16#Wd&K9MRBnfeF-dbW!Xf4_l<*kIH1Le3qWr&h}iFQj-OA)v0-RK84oYFyW|T`T^h zk|9KCEb^(YqTqrd$?XUQW!0~GRjXOGC|}Ow*S@B`24es7V#mBy>ip(gTES%i$)ejz zG1K#_;Jrs1Iupt>$Q~m4xO!pa^e@qA18c>LhQN`JAWZr}XcOE@xTWDH;0agmb6D?+ zMye?DvC@!a9J`&_c}C-LlR)Q2*{_tzM~Nx-?9V+aF8OqRB0nb9^~}yKa-16zW#Von zMXN+zhTVXbqAVZwV>?-pl-KWpuUavkDwHP!n*RZ)^UbK2p&0OJYzuBMZd+>fKQouF zV^O|eFfeq&w&%7_jiKL&Vo6!aW;>0xJm5um?{{akbTQBS(kkisvV@0L@JZIKvHZC4M#qWC@Dkjp8*Wyb} zJI>1!k>7iRx^)scqK0{(X}T~^pX$h7@x1ifr|d$qs{Ik^HmvFFvr**fc6&Xz(?hne zAR?t0bY#a`@>Zc;w@LW}uu52$6qQu)y0+Lmu1k$C1!fEafjlgJ4iqbuh*ngbFecI2 z_wN^d^g&d@c)E&1}oPYS?p2t{QhYmRehe{*p~Q+lLrRP(s$oFr^a- z*Z5wNpg#2F4yOHSM{hn;jVfR1bO963(c+LPd0_`yoJfW(ul`z#SoH4ibyGVHeNOb} zU#G;=n@Up>N?d5=*Zg$;)3%UR>pOZAMo8>b&YSiLu=pD7gomiIf#JTVEP{LkwfUvK z##BuyD+=bW{HP+V@_v^%DDnYLxu%9DR=Lj7f+M3`4tjT@g!S+ls8CgNxWYhZ6zge& zI#C4|$J;jnwkJ-?HRu<`GGyHRO<;b1Wj^xhE0+*t{xr6r=+B08+!6$4`M{N6XCEr`?IMg2ygLZ3Ll{@f37PI{NNV%<#Lz-p>h|< zd`JQDynHl{RoswF>N40Qdghos{*KR>PBgLo(Mt0bcH-Jt^bO$heeqdI`0ALyn_vDO zwJn4so9HbQ9?(%nKv)88tNM3&Ap0~=ZJsR|Zd|}qF+@?>vh6L8{SnJCB65{)x=-_^ zf{4yc(>mL0@dz@n822R^r_Sbv#!S@*kqA~=La!Hp`QD`nbn?tVO`UGvJ1QBv3xZ8M z#c0MVWR{U^ttKKt=xWNdq&qBn7{ssqnLDsoPn$CBA0^(+$XT;!k>n;M5;WvU_RapGM#-C99-}w z?)LH(n5LOjG9-a`ytM6(BfIkWQGcxw(yuANvjpt)-EJz*mQt$^Kg{{^L&e1hr@8hk zrn;raKqsXrs3o&6)orhyEHw#gXP}d3Q$k;BXl$#*+k3k)|IpM8KChzg?ji*ixp+b9 zk~^?3hnX0LFUhjI&tG!c6x|sZw0)IzY zW@kxKstqV#BopM{8H?BsrCYavUwikKNUVS|zfg^^-rOi_6wiZEG0J=u{-6YU7$|r0 zlFd-3F%^eJskJ?o%2LVDGx_Hgtz?hyZ0J><6Y^Te;1P-oTZhBLkcri`Ezv%@-C~uh z{}ny1?8v50P<KncAzRJp=J;{Txbj!{j)xUa>i4I4v#(9cLbveepvr)=WGK&)TqYo=KJums<0J6{ zrwfr|V^qBmbwA9@%-s_t9^-n{VO>UoORtO+08kBJW&yLPw?)v^Ry8-qDx@w^eb5h4 zT>R0Ankt$G^>zB;{~4Tj8{Wx(Mk%^E3C&?y0AZcfzwe!B(H|ym0?qd6zE6a=Lcf zUXBwrU%#SMBkZ#$QYo>=M~KW^z*R!% z;pMF0=K~HjzsTeT&@jjBGfMG@QtcxTl3rkXx`PCWYlt2ieEA`ol9d)qZ_dwb!Q6I$HS8X@gxX~+HnR6DUgPP+YC;2qANOXGfzy+bQ2xp3i;=G;sEpK-ZJeJ{`#v* zAp9$$5QdTxI;E_Iz18?RUf8;MiMv-QV7q9IO;@KG4u60AOqw6jSR1n;9mkT`2@oJX zESZR4DGxFZ62)H3X;^qhA7u|7Sm|$>BHG3gvwJLQ)x(O8Cc;WY{fI`{60R}HTe7}_ zYk2E=-z!Xh$8mI0Vcr_4&-R%5`tDB(_Tt%rVIV_Yxa=*cX!oQHNm`2fwP?gOXVT|P z#23q}Lyy_3n1jc&LMHXH{O7JvPi#@i5M_OmlMQbJBHoCuRPWKwlg-*}1YCt`QnO`(qkUAgsWBxq>#M=nEf-prP!XG)ZtVcMKVD>}Ar&4i zojz!3Ny$n+hx9Snep0MaEI81Xu>g>pktEC;tLw(oclfK2V=jH$QsHbl#Y6W<*W0AD z!Kz-AVml?Grqg0Dy@wJxXhB8yC{X(f(bW#bU5T&0`vE+T^~qO(IWZ#PSl=^moSy8S zZMeZ}ZLT*e0kWgAQ|zqj__G=YEz*q#X{e~w2IHqEVHLbbybxNMdSH$VDB zCsuf>IW^+f?xY-1b+L(_0?lHChvPUg)z6Qlm8wzQzBIQ5`M2rIhQ%o!mSYQu7Np@P zeK(k_cQD;b+x*p+V97ahY!cOfxF1#gJf{S@%Ikbu8v9b*sEw^yb|96t;WQ)mAH zfNB%35Dc;4vzndYLW;MSFr8#W`z_>no)*e~STIWn2vXYSf2D71$~kxAU7S#3vEX1y zwYY^uMt;BvMmiI|`1hGj5rQxlo&Q2dm;?Eqgo3OtSHU<&^56Noguf=x?+%bC#rx5$o;U`8>sT=wQxmv?yOKLqg+d z?u;Sg0gGC?bYEp(Cz8`d zzs=gth3=A-gmWa08rAv1wGP8O5zD z_ArCJa_|WQwXJE=mjGQ9>zQY!GSi3baABzGpM5kT(Lx;7@p$ZV>c36m-NLbOe#WWCJe^1Q^wLjx)hbebcb&v>X)PTBd~_vm2-^K z@TYIY$lLa?QHrik>&mu3OAq(Y@J_O=zHoBmNEYsr4?~I`+ zl1aGS$ohyfJAJ<_Pv!u6CG#w*~VFSA~17op{Huw*^%2k!RZ`LM5S+Kk)@ z#_<3#FhUHF3*wQo^~?*ad>QOn0g_my(mb}Dp>3{Xu`Y&EQ#zM@VobDUeQG?I&# z-cEf`Pw`hgLq}5Uo^iY}g|lxFi>yhlwtCXp{G62NZ>O5$64_v3*kbrI?7VyKezvrb zbAk)HbJ&_~f-~;M2k=){!KKa(sP4?8c-gJ%9hf)svlB}%y|Peqe|P~46LVKcKSTYc zIEp=DHkN*j{m9Y5I+SdEkLo2?-y8CXw^!CQ(YTwDrazc|$&~7K2IMX8))S?!SWo_J zjjjlzH&^1Mv5Mkkq`+Qv`5Zu;d-des7vxir6w8;Y6~oyy_hGS9R;^3~ueX7B6#XEO zqB{6QH*!M2@#7axd!h?clo1kE$L`8O*+UB;X@$UsPmz4S=J6q+wye^-vDcS)5mZz& zJfnEGssU2UE%lL<^lU{wUzqVt`E=$KcIS4eQZ&aIcbt%@m&oU|O6%GDQE;=_veq zc&wEc=AB>-ChJ#?=PKyGki&O$j3k|V(MR!t4zk~hxdwI8JjIAAn!GF@N^XXSeIWaw z{~gxwww8IL41h0VbQ-ODU()3<`6yn5*q@!bkNyW>U)!kOOP5L@-G~ZAf88+r$dDU! zP?pQTb8q^LvYn<=J8wJ$@_N@L!mcU5X~cyb5svMRvL)N!{{W;BfxT67N6XSxI^(*Y zRYww7jPwSR{#ave_qx__mFk%J1^>>vsh|WtOL>Z4ol!r3XPUTKbeicIs3Yo`;TeFd zINm5V+b;VtEn|{#DW>Ys#LHt)_-gCrC;B9kye&+Xef=YZK4KLh3|YSY_78v_*d28J zt`TXR6Qg9TEoVTCtms?OzEd)7o{97bBu3$ZrSaq?ydM2;xG>!)wPOB zcG}ih#9A$3M+$W+iOu)l{+~<@eCFu@HgV%tc4t=g)w&AleKI4mH?2~zg zur1%}-)0=+Bd8%V^_GaZhBDJM(j$XuGEkNoyr&0pG}4<4On zJ8`~>x(}I;@1DTtY(r{l7Y&c^dOtur2uprU8UlM6F856gc8>lUsASjXxacKMHp#{| z@!N?lv!rF1LjYO zU{>1cuz8fqSiN9X;*6;icH*}H4Ek3yJWFDbjPj1m!u%D-Jy;6pha0{v>*=b(-C*w* z6A8_ts~?_C0O)E%3$sW@ug}S9DJxwf$E~WVQv6^GTLjOyB;C3x zpBBvbJj#c^H){Nh#{10%Of5b?Hn&QYT?)qA87yPA`@dDE=iNVnj`vXp>TI&biS)OX&;cflst*o_Ado_0_yetA3$M1$b+hxR{PBPb?vcw%k^r!uE0L? z$q$YY5EV(?*+@va!5_%4mX(7vjgirp65gHilbkykjT9Tu4=oFST~jiZ^0tW}*l8w& zQyx9pI>MN1-|~TJTuz-B3tt`&7~0}`@z%#rSGtupUM6=VpbghP;P%gQ4~utn&Y#JB zomu)mM8j5w&SltQgQ=0#)eRZ43Ih;t+4qrxVM!Kgq5k(vt(6$tSdlvi2q>$g^=(|f z_XK4@7ZD<$Wyr79N4?NO(x>a|cl>#Thq6JyeWFLy>X z4Y)Phz&x)AMG%ZzuA6s`xpGeZ=Zfww@R~wfu8~fO8{WfO=`=C!DfsxcOXa>8;YZ`1 zx7zqC@f8DoL#?5tsJFGtRU*oW%J1OES2cAbDP{WeO551!TR(Wav;CsW9%Hl&Tota6 z)wE~RA5EE2prBjK=ZEK_0*|yl=LkYjq4mnpUX)-<&&$D=t-ZHEMHC)FwIDq0nAy z2$q+7RL9@nW`Vr2ZRLNwbl=!6qedxC9>b(3YAUWTn(6C)H~)pcuf$9DjOIsC1r4-H zU7Ox2T&W!%byz-Fw#zPO@@F?baw@baE_Zz6OheifAy;9WHd-^OY5X@Dh`EI!Hy5S_ z3iUiMw_U*I6z`J%M>mRRT0h$y(AESU-&uE$rOP&B`kPoOlACkEUb`EMBs*J|21LMd zn)fv%yYSkJ>1M6*NAJOvCJLcR8`iqkp4(4v121^$;JQ4!K3hpjVJeG-;JJ22yEVYE zQ?z{4-u$?Z%uAPFM24@NEiAYh3Rjn*$|4#l5ajCG?)T#V>$7O&xhrt&d;mRbc>MNA zi?sTaLEdUEbm_IPi;&_u--kz*adeZ~ab9ED5x+KZ<<{o<22zME+tLI(GKEI@b~{aW zU5UA5Bw65%%f_PC-1JUyuy$c&H+A;K0T(>7RqPAm<087?&y1lIqcZ~*xo&YQr0$=q z^*pk0tyZtJ3Er#qS%~W*%>GO~OfXDVTg_WkH2gH>SV6wP>#}Un&hCZ zPG4s!V_Py$+TApJepx!(>K(Z|;Ijb&LB-ZtUcu+}OhgW6E@YKWEwrT0VT;bXNl7Mn z>P4Q)rd*9$*QvU?H&imA@L6s`R$v&{(N`{23<1D9b={x-w9~vFM6}!w6i8W*p~pCX z3N5(ImsNh&BW=SP1V#mlFI8e%sDgA@4Ya4gka?-U^q}^(^hC`$Dwp?|+?7kb}u6M(CPe z_|rs_O05B8#@b(olRraSh8kUfOlF)}m!C&Cd-OVHVRbkdN)y(xgds}!KlBO0oH!f! zHnOOE&0Ztwklj{-NWRCMBU0dyMlL(}&v+3li9w~QzqWJI*4o^RVikCd${=Pr~SngU}?h~}aN6dQVQ0b&4 z%ckEUkhfqJE5Q!wt&6WZ!@ZB+=Vt48WR{ViY6u=}buvxIc{Xu5k{W-kyj^(46d4(v zx>$(vfwI#zG>6GE#6-5bGU;dv1>@07c`W?j*qj7OO?FF+i{rDr<+*R{bDdh{6HOUD zxV)itp|B4r6IqiDI1q|t3LET1N&+vlpW8f2ZG}vdc$_Q9^pZdI7jpZ=G&NAHUQ7aX ztO(S}|BnD?H+L|rL-w+)P>O=hsVqJE@4i=MYEPQo2nJ(Th<>vA|5O_OLvi>|w&(|< zPTG?dJMhUX;UPoNw}`ypwQ>5Riw^?gF&dS&r>R9wdAn3^CXb#cIu_*I<2wn!gqJZM zTOwZMP0a5}0Pc*uTU0dl4K-(cB_K;TF#2M3x8#VrAnGMS<_+}V_8qa`KLEpj09~Zh zyhTgHp;KNu{P+t-(q3|`>>6YD!GeT1wzkc=*Ro}lh_Qg4Flxd+ zj^=dCp>F#Whlf&M%hd5sYg14MZ#NX!FdacR?RzMOTo!80Mx40J1=X;`l_HlKq{yO< z;`VcwWbBSw>afG*8k!n|i*t@c%r?8k2CE$DLkR?FN%#L<-XhYb3g5Ub|4W{vI&e*S~FcpF+Ega~~Z^6!T6o#!D4UU@yfME6mKTqXc$?4w56 zd#v~)3_yuFOw^uz$>6%BD`FBkeuiGxmKISbYzWM>W#5W@kL&uapZaITe)|4t5A*^I~v7fOrLJ#U~(;QSMpIdUyx1Jwo@!_#6I&?|K;av=o z69>lA`g)6d&4y}@{oiulTgDhjW5f(bcfT9E&g32DU$c9GL7d2$@n ziA`B&1AWpbmY(6bTw{7EY3F|C)Tev|6d0)3N0!uI*^k|tuGQGbtN7Tt_>_+R58h>b zfz&%BkSEnDBMN1f;GR?A5c=fk)3&uWljlRf^z?UDmn(Zhg(q}rvVJQFqC}7!=?Td_h8+eStcyRr z`dm;R1D|7<1tO!R$#9u>gHBs>ZDOxq)PPmujPh}4#=r+xl>WW302VgA@|T)YsPU3} z#u(jrcT7}3WSdQP-*dEmXGFIfu!i-U9)4UFe|0Y|i%`((YS$$QBrZxsu6#aI#n5z` z0~&$-rKv4Zn$BHVFF~Jh`Sw8rtlPPa(}FA%8(%ljNP*tO^1Sc_07Sz-C;BF;IBP4F zruJ@2=i?!~bNvX-zs^Wd?|=H`RaNBThJGxc zT*yoZpB$fDz0y(2?7lF@Qsfuf+;ADV`$6YHA~IRGotE~9Hx|w!tGW=>l@;@!P>Cnb zs2^jIn~q;Kf>@I3~(` zYZ5kcuGHv+C3)WO3UrCCYMP+NQ@siHN49m49bC`4BSbNE&8Z|{>y`JPACn|f7ZeSQ zW3g^snh!eX1YjFS4}?UXrQVb|O{y!*Z~(kXPift7m^Aektvm&f*e zlUm;RkTs*?74){ky`yRuGLp#V;?Mp*QAyaTw#Q|2sLooiBg8c!UZ5X_pU(%9glZt8 zoBfJz)tFhmf;Rj}z+li4@G^)`Zg4f{;|5F^X_?s0hfEE`zl$Hf>bMuP-~81+)n>Zg zLmlc%1O0y-o)D9kH4q2h-aSUx(aDkfUhzzL2G`O&=~gq2+oq3(!ao33&r*&?vm_Z9 zbmfx`J)vhDkSCCIo+g`?XbHW%4AU|9A=NAyoM;5p?}vO%H>8Ly4`bwb5H z8E#?Py~>cG(NAUy!0+IXT4iV-fu%GdYBN_)YTdd>Z(5_f^Fnhv6heF+NX!h-g?YYraAeCy!ak%>Ny)oas zya1}_8r9Jpz;Q$k>Nb5ObRD-g@a2p;9LjdNyE%TuP8Vuk!{ml)nB1MHhOE6vx8ySW zxpxI3IVAQKoG!Utl}ZWGkl2T5+L=ega{JWs_mX}-tY;NpU%noZM|&ab zb5&7iUO{3(vuSDFjQ4~3TvG&@U49XcL!v=L-pXWP4~~+U>1)>~3V(HWx$3b|Thn1T zR#~#>z29ytk}0uwoo}mPyip*^cEXIyimylrMiGg}6Fta*a6jPZnKRLc28!QrDp@w5fxb8OhOv ze}8np5TJ3kgV;oR7*iXj=}zX%u*~ZZCto$gBQyja5%054xm%EwAQJ~UQYl*kW z^l8cx;Bv|#?t)Ac$nyLqMxQ95l z_qR%#mRue9q-AqN)b}t-*w;5GL)DAEt9VjVF3Gt)|06bT5|$x5G2R&8x@myQdBUtx z8G`-%zON9RRox|o$x3eIVSLl~2$Fpse4do5#Hz0aq$;W8EnXWnyvB12iIdVwxx{qP zKf{Y)ZZwtVH6uzRt~AMX{ z*`708JoUuH&culWnTZy(Uk^q|K4L(&%4<(kky4gg7ZnYtpM7T<$}`WNZ@xww4*H1( za6R;*YD68o!rUY3oQLNIjY`F(%0YBfb=Z%4GLTpE70)2jzurl?Elza`*aZ2rpe{Xn zFFJ#aEsZDDDUAm+aDUzP2ob{yz7mh(xp||3zr)saFFx-}wYc>;X#WF1vGomv29+*> zUNOKY9fmtYi#b_g#ugICg4&C#iGsg*LF;(o?n}&~92(;r!6Z)K&y;YWLq-xcT@1ap zg-#g>VH4a*14S$huuq_jENx054Yf#a)&a6B&)4E)g_)i*l&S@1@3g1jEt&dYo?DpH z7kLg|lArIl_j!5=7d*v7T_w;~ZFmP}VF&H#r<(?WwZ(gS9w)Ro} zk?)VCF>UpPop(ONK7^m_+Au?#++Xjy;D44 zj_T%li+3StPQT66wJ0gg+cZH0^4r?|^kxJsbOS1~;Luidj94LUcL#d#JAs(bpIc_? zK-o$f$-^EVw5hs4Qu6@e0H$X5vX^Bbo)QO_ztMf9zp*i)rsTHjx1e6%*c96n`c`~Y zEb-;JK~lGqFw%-)HX;@CVLJ8x$)8qV;$_!*goOLj`WYsptWHQw7wmKRW-1TqF2JzL zVhAZ;%3}0pNJy}N=mDkb4BFS<6swoKO&d|(V3D9oLqr$F8=2CPgdVa`Ee%=#Kmg#Y z!}^Jv3p0wOnR6NE;b@5lvkf*!vNepgFo`IdpPdg$`{TFP7b??F>^rJqK zZ<$HbU12N`{+<1@p~50rd-@L`tg@9K?1t?%raI9!m06fvScLjUOnYz4{Yn%R_e*C= z$s)gDnssswdYwFJer>FY^*zdNn>SL1QJ_zU!bW6P*jT2nsKuXYiXE{GbcOV^f;c7H z)O(ni$zhm)jX7Nol}EL$J6buXjte1bEbpdAmh0jWxk;zZ=7?1v4z$7w$0Gfg0|aIv zd%03-<06*#1kDZem&RPSyjtg54e2WN0ymCxp_bUh0wa2xD3FfRV;rjcgU|IdaAC00 zz~nIpFj0ReCMEwzmFZG*Feb&O&7w9Qj%dnF1pSX&-#<4;%7LRGw^C8~N4G2|E+!FY zezCyf@CxYGEfUp+7Q=eEZ)x9fy04oP(4J{mTs+n;lbca{@X%+G+gpY#(_qNfjET4e zl-R`&p~DSdMQZka`GAFP4X8P01bLB&T*2&<9j3=iB#?HuU;m>v{$JRlOy8>YknxAZ zk7Ti)aF3q@WS5StQrTq8G~N*kyf$~rWSJGc*X3Y}DRz6!C99XL1MZPCQ+*lONI1XO zPCB-J%GU6MSC2PFZWJQFEo9MKX3;=$;RU3qcf}awT+TlY|L#8e##ZR*=(rkWK_AoT zt?BmRd^A0(?OJx;h?BxC%O%#%!>O8Cfla8R&my)QVl=~d|J`-JKFP!Y<|T#xJ2ZVG zF00%k*M54U706y)X3uvGsfVz^cm3~9HhqY2GE-c+GDlfo@@+$M-lc9|Xojm!^E_-$ zRv26>tlEh*$n+&qZr2x#?~3;>1FO$We-uw2!Uy1cj;ebTO4S0^t1lX5 z5FdryjE>yjcys8qBz=kPKZhb7&$Ns0x2dv)Nmw=)xdAg7@~Z3 zl(zb35)}_H$_3ARb(g%{H+T}2B%0^y)*o8@vRVENfL#cNU&aDcES!7!FSdjYDREy3 zh~+o=9*KCYE^&8xapLeBS2r$!z>M>f>3@4RbZpSNiR~DH+as?wM05gHzSXgasu@+M z`bn{eJFq({wtzk8U%uPgd_MK)^JRyvWCoQJfNd+KGGUc}0zZtmik8@aG~M;`y4dGC z0kKxwv%L6`UiSO*JO6o;rjv<$HFWuQ>bmL5t6@eprG66-$S;Hb0@+Mbf&w(-()yOj zOpWWU(5h6!qGF@e(3Wb-jKxw$r(o^)k>L9WF4dQMZfeahCv~j}iup4Bei$G%*U)bu zr2Hm8dcXRarS>#7x7c(q5Lh+sZfn_EJqcr9Z{=33k=}!&BPeJAi9VX3=pqk4A7qlD z+x7&03fNu=6G1Atudc3!)T|q3bn8b!UiKrOhhwU|zhqauLZhIPQw)k|RiJ91%C#w( z3$n3;I54mnT5zU>ZL=PZNGx{eN(i75y8R9$tUI&1E&}_ARQV9G(YMG-ED5^D(mRBl zr#j=QLGA6uaYz|Xw_-Ehkh~xq)fs*E(8({fsk|4YFQ@ze5K`=Hk1EoGIi8%5jRhyP z0?6uwaqP7C|pKxi{&yG+ebsHRpEAJ3&2|jxKrX zX6pQqysIhn0jmTtsC49b$K9m|@&H)Z3- z*OO;e`O}HULH(PclCf4@3yVBTa?|mkx1)#O#V*0JN2cPvSzo6Jo+AtCh)VdA(E7e` zajJGI(>wdnf6sQ(v_kQg>Q zM!76?Z_vAn;!g<99|_Hf1(1jH`h7Z`9%&(*{@o0(s;R}=SvKj{;bE26zLVm#2>FV# z8^CcLWR)Z#RX?2 z?&=^)zaR(GgLGNY!fbHglJ1Qh8nr=}CMwSFlu;TAOI_(@jOkguNqE6#kgciIPNw;m z!SqK%ZhU}RveUkEo6AH-h4-f&#p?R@hAEyW&B1jyM|KB#YprB}C*PJPF0LF2Ft03f zOOa*rl04C&XZN;no2d(UTksHc)huK>dJXylhRJcFmobjctWpG$c@%UxSg39f{QZoKDTCvj1izEw- z7$FJBj1~Uy4**Xxz!Q-g?&SjlS})U0NvpjYSnWuaI*av;%`PX3T#9O{2%LIt%i~$Phrk+#yqW<^SI2^~E z-LFwUSWl^8`yRMrBW|EcJ#a(N`BOqCx?!8-+REEKod(FGK~G&bSzw*xSc3VlQKyIL z1kds}Brh6!bruG)xH z3_nj&!h%{ zAuF2IMD=m3wlKU*@~z+IfIn2;mU6EHBUP5xMD)aJ;2WbVnl5Vk$bl<5zb| zVWviE*sSu)WR25>3AsB;58m1M-?nPf zSa}Z6dW%HoNK#DPc62eV8EYa&L}f)~7;7WyK}-{Ek=pb4Q%Fpk-^_B^MjXzHWaa+Ho?i^r zFuOevZwqptn9k_hip-lg{_UB5vyAI(L@V-DV>b0Z`gN27#)@<_p+S7oe^zlnhQR!0 zDEVLrWTlniL(y^F#7a@jQIJvnv^zEKykTKELz?xevJs%7*Tz{FZSzaGk(+UN@H5aZ zka~g#(e07J&? zeszslTB}o!P;(3nf%`|L6xOIQ_X3$y$x&bfpz=j-R=yQ8yZ5V>#K|8Kc%`3XFPCGU zkTi0xtz?fm5&m_su-X|>wOBdfc-8cgBbz1PnsPNJt`tBXEf|B8+F-{6#j^Q(fudgo zYggbNOhfaNIO4TD#;%I4)!ddUCI*GBq1X7^(QYDo9vkJg6fx#O`3lTx$r&XwQhjRF_f9_BEgjhATp}= zGmm1=c-3S6e2C;S^vhW%wnxt1KzEtfFNAH#h0bO&*G1i=X5lzYzo%$t99Ye(ZCl}d z$E9kc9iE5rzTku9CcQsArS#@M^c<~>yKy(Mqn|ydyet3Qi+wBFOA`ug@Csrtda)!! z(Cqz{RVLe2PjQ9sbFmN=oVU2`9{_n!ye-6}BYR~XIj(JhBzmkavClj_DB>6@)cM&; zbIP77V@L1nN4+#{m;5ct)GXVS*YOP|B3c>q>3zeBV?AV$Cjbem`LLGZEJP9g#4Kvs zSs;H3PHlOrwDZ2a9k zefyrxNiI!i%7dxG;rsThXd*TbU=8fX{Fm&M3{9=&hA0lv@^erGRtn?69q5+$k!8bJ zyo;$~PsgiysW>z6YyjseLH>B%a)h4-T%@{@va~m>V@fnv8AEQ|(K>xKTl1Y#u#T+- z@n!I?(e1kqeHG>i;24zkpT;ixx@atq(gjIcTKW`?$SXiRQ;!>b*7Vjq%RI^9IR7>V zL>vQOA&N5m_Dcb^tGq&5x~7&%jgJ9i%e92g4A2$Zs(xk~WikESo%;O#i~)-F`L2?v zO80HTq$8a}7Cv{6*=>Pxxb&GLvYPlAU;?_p1qz2q4{N0Ryge9g+owm(S0Gs#f6&mjN;cruJf33i5xV+dpUhxwHkh6-7 zs`26_e=i-8?A)6DmNfifp5=X|r^UE&!mV52GG_H`UQ;!j2Wwtll6t*F^{;{#Sn^zb zE`tGU-x7w^Cq+=#jV%NbPEFDgjC$y>zCPw>{g(~oe#)4%DLw7 z>reaEi&1>de8Hav#eIoAmzqYUW=UXCT^+b}Y^L3a)F}V4xkbCG!O8N)Kd%xrGPJCE zC0T;IXyq7Rr1K^8X&~J!nRn#c_!^2A2MPG^MgO|N@E$a3Io2R0vKy~i+H}6 zIj}D4Mj`9})|yz>@*1NO0z(vjI+TFy=|7PNtqN;kjIPWh`q>kksTl;*cdVk)9~nSh ztZkq9-6hXw!t@-xSxaw1Rxh@mOjEfJ86veWLYjt-5uDL)&g{- zV{|w7rVter?;Y^AK0GE|r>82^J1+oPDbEgZbjJVfrT)ec!1b5M89DsJ2oZQAxSLsM zh2AlbAvT4cJ`}a3YZ~S~4WqI6YJ&XESnq%pX1Im-qf; zS6a=2p#oAmm2M4|DDB2B!>e1!Z7$5UC#uJws|*Zhl5sTkmeQ%6S)JI3ByTA%VL|is z(lAQfp}2EcP^<1?fUZ7y$$&HhED}7o1<00dkBQ{aUVJOCCU2tVF!9w?5e-AA_RH?e00F~WGG!X|XcMf>#P`^-hUsoXH;59)59c7?TNVeP6$V`9UUDD zJhkWF^o?K&e7V2|kGS;^6Xw*Hw&khS`^(}Z2{x+ri z^*_!QMrq!ZKOIHp>5AQIKpIw|Hp-`uzQa$CF2=^Z3H9*WWO*VBAbJw(cfxLDqreX; zZ22kmc#0YU#;Q7w3of!MxPmO`X3f+|kZID2`XVD)u7xz6wBQg{I*m zxi!19I{8X0KeW{7oc~a}yE+QbhmULklKPa*)7B~Oc@OrucM(VRg<$xBACpBS<_7b4 zF;?Ii0U=|F;B|%gn_I_&u3AH%iv<%y6R90TV}zi0v2wgT-HTT=!LQKX>Rndkt_0;? zIY-u)SI;2(LL{EwAj`qX9>m?d@$}Uq0lVu*1eFZEn){Kpk zQh-@b?t4IR;=Vxo@k9v~dNm15tV3Ae)NLLp^$w`sxdZunUqspJDSHor@P!YKy#;f@N)VL7NqCn}B zPe#*9T}w3PP6rJh?2i zeKd@_?f;^9qJ>hGP&{iRy!Tb`cVtd)Cq$&|N{37I*pkP3oSeVsa>=n{CzLK+pv(#$ z8L3eEYKv+IBNmTgs^7)lbrtojgP1%>Dsvte*y{S}c;wqnHb{(rhpbRt{h8G-eH5N{ zUt-_hH*6C#%>1C~H!Q?7WQ6Fw?GifbJ7brii;iAz5;C=`ifM9xZhkItS;Ii8eO^Dn z9^!B3_ihX--gXjjEi4MEBms}j6RJ92y9BE!Ae-A&GCRBe8+&g7700r*fewKLg1fs0 zcefB6LI^H{1ZN;PgIgd#&>#VV2X_cExVsY^2G`&gED4a;Irsk8&pqe<|6Ombx8506 zGfh*|)wOF+b@i_OeV=s8Df%*12K-VnaZe}@S|6yX87H|E`FRsSJEY;V29*bO-T@+& z`jhG0Ceg$MDT+t*a{Lk7q@JJz3>AGhSQ9kVmMu&gIK_m zimO_dV1w^#a<+nhmp@jZ&1MRLky+=&bRV-6_qS-q3E!|3ap=i%(u{CuM`ix~TA*>K z2>jZim(-||-ctAANL@#EZxD;xdxM`UoGpLS2VA0OXr$;X-m+P#2xWACI=D$BOuu=t z=Lbv0SLGYPe>6t-QA>-2P4zLWh`yY_tZ9C{3U7Tw%gJ$QGk=BtPRhXa5r2NP(6n7{ z#KEW1z$fM$4-692g8TPJUhdt|o{Y@iFo-PG)|NrbcVidwQ)a5dOp{z?U->qn+|F+b zIvJ>jiBS}LsIRET>wXt$xpAPC#m|C^m(`SP1k4{i?DL^yeGtLehV?1cChyBlTmQkq zk*f-LZKO7~&{l0%C&l;tilrK?OgkD!3&PJ%!`8U|D7?usjKZ%LnkPdCiM#ADH>$oanBjm@(Wy7*A(JmVUZ)K}(0Ji~h*PfI7kHQhzUFKf z$%mCk@j> zmEXo63CkI_Jnle-H;;mciVk1Yrffr~>y|dV&u}a4h3#@a3~X+cNy)ZVqyveI4EJw+ zfXDrFp=LF+GXGAse2;iAjz9$)u6&8KWhWEY<|FHO4^Z2qgQ#Eim|EBATfM%c*||Ek zffUc{Ey;>iFG$m(KNtd4-KT$bXwZT$>s&Mbj5)t4{W7d*M*{OY*r8})AIFxEm%US& zUceq5jkfBZx)#Elr8r?^B&(DL=!QZ~6!=LThMCgz`98ZMXD)1#&&e#Xo3y46r-Z8u&#(bA1`7ADU3 zFz%+L$XMyrA?>Y6F&qo!%uUp_s7+L@k(&rZkS49gQVZ#`w;i@FnAtWO(O;Q0t3Fw- z$W0x?*V}Ukl9TIZ>@ptN!--bDY1@(qZQYO;3WFZ zg`?wJ-@aTbA`d@R99WRC65rUhy#L4E!cT(3tL92(-xu7`&C0p^Y&uUZ3-TB;gG*i0 zmBgPz2#4dx@Ok9&A`clsv6|;5@On5)Vw5tF{GIu=@=K@`5a(qR9G-&lx3*l#7A*~ol+G9T0};+AAv zfCXf@HN_HYM{)RlD#QJ__;r*kZPTU4GrGwyrQi*W;tBm+l8*XtvG)Vh$G0|jZ&%;q z*urg%AtJV__9U^YDQ_mKA`_x?Lt~giu>hKjA<-#kZzTho^RKJs6Fb z>hXQ`HmLzdn5g6%c;5n>tBS;DHQ3YztBI47zl-JGsb}?2n`zZe0qCCFX}kf0!E{aP z5}J1XB)_i2nCHs{hBqJW3d(sN5>7pNN)UL?QT6F%VD`)jIGh2cqU9XXK5G)ze|S<_@FoQg5lW!sCMv zDV!F5@OYsjR-TZ5Y2jdIBwUmZ3aQ*Y{OmZnsHH_98_edpzTw`b`f^9XNZ}l@oqah9 zPVNw`Le{`4Vl|1FGjEUnbyTTuRiDh{5tPhORUODIAi5H;uOLblYr16R9CQRRIgs!V zKu86$Dr^)@a>4u~LxCL#s31g!2;6%CB5{xLZyg>_-H3YCHuluT0_ejh6o%%~;|Ws< zCPxod2fHURs zK)YCM^mSj0#ndAJU5mAUjIWiLIeX#I%vyh~@iM4qsL)_#cSd{WWQ+{9b^aXJh=jl` z^aVIh#EN9Q-V6S3A;2stjn)j6slOtht@2l_9Sd5C-a+{mtSM|QgNT{lnS+Fw99!N@ zwNekPRtvU#$ekebatYD=#T`_0rG=3BhMny1)88|-05By26L}iy)TxZl$#tD}gkFYd zloTfexG0BMj~>&VYt`|YH&&j-tN2#~r9~xDw9B7;H`uwr*T`Ks90j?HLj|&8?%eu& zj!*Z-czM>;2I!E;qto{T%EZB4DXl>c76R2K>?y`_7IkTJ(>X5zs=p^yxqxQoce4+hCM{kqKU-CTZ z>Q=s{k9D!i*pBFL`%0@+N1Zp701S~g&k5rck~KjG4@tzmFfSb1;jbIDGs~*z7z!*7u%E-Odc2RdDq@5YMI>P~Ttvy*^R`lkn@^k~&HJWV`8!5DJYFns4 zATIt;in6HYIzim@${tiAcZmQ@74`9`12=zS*QR}}u$0`{+IVmel;fIGhK1qz2i)8X zl5)~gW5`vb;Rf8sEq;EnU?pUoj;vx@0ZGg2O^GZ`xmYftW=uC74FYYP75D48oG+Vt6%u1;`;Y>dqDm;(pDcaEoE3Ci~QE2tPK*-Cgu;h&oZ@>`V4U z63*kA+VD}5XhL^fxowUd(F9$ywr3Ys>*f`d32O@&Pezx!G=n*;>MQFEODwB~^=KF3 z>kye7UUW9!N?D?Qh?bC!>l`J;9<)OMv^f0RWCy^DNfg)4-pm+{&+)H?7@9>1^s7>vUK>hJ9=3%SEHGSP8eBGa5P zk{Lf=7`hB|SE&8Smxo*g$Gk5Qg{o|I>n7;OXawJ*!sU;M0Kx!IJACFwwdJ%>hp`-W z@iH!ga{c@5`|etSyz)=MniecQy4X@3NUlW({{;LIk=TEm5NNw-J^v3dVO_48A~8Gr z;i{5*!I;DX9(}F0%00L%BkN20hL$LY7s$;Cpy7nfX zO-{RDOrIUj1XwLNub%0%-fL&1=Yp;*2ImLD6eqiFVQs1dsG`0=zpdObf4Wdn`T^3b zpFIDb`d%*96Cv(V#G}}?7e%p)89^=D*G~D@!4mYkbGi#QC^J_l>2k{qPL;S8OWTD9 zSQSd2`Pwk{Q#PFyvv=Sj*|9O@Gl>M@ zWlXFqd)J!>S})l4u_RbXyrz^Y+@mhTkIFqd0@5_qXnqA#^Lw#3Kg%J1xJK5P+Bzu+ zKTmMK8#5$@$S4vc-MdZKBYo~z?`qN^dHmGOI*736Gm=Bf+$8a*;D3sJ5e&8uCIyBlMNfZ>eY?ry;9{5 z{U2Q7E`D>24$R}(-Z}c*rXAh)0=G^~{B# z$f&o9q((EWww^eJn1ce>XoV*z==#&swb4?^Uj-$~5^x(-DvUQZrHD`#6e^v!8B}uE?$3{6G{|mC)ZT(sf6`dH%-H%3$YFiR3Mj3?t7Ju zCK0B(6fLWmJsj4qG7y!e8h_Y+b6>4ct(uWFL6>#%h8@aK(Al5*Z1U)M%hyOVnXSMh zH`)vp4MJQ%&+0%;`EbND*l-eBrXlA^B|lZcMyjt6VHo7{W27Opme@Dm`I*+*2>MaJuBm2@$ zxu+3!IGvWryJ)1$mRM!w$~LMf9fK+VENEBHrbm}2j+i_UOKCJCR(42x^!xh#%bXGq z!H(H))dT!p3kIRU3K+L2i0daD5#)H^k)WdRy1Lt|CAnrAcB}qvE~b|(b)No-yfiF~ zAXYP>oFV(4MzP<5;G|xsp5Mge{G5wbbWuru2kV~sjI_-qt+)$~#mwE<09kt@s+tWg zGE+x<*PGJi`xB8YqJ?H$l2K`qv|X0o7{u?X zywGY<)|!H*w!v(!u5_-*o_w9v;H!A`$s$as_+4BT=ZD`~SNOTM@O!r78{}fVHB~}L zgq3wTA0*#9me4ZhYis~ooMR5tt5^FetE}GAr{#5PLnLKoDOglS zEk}iS@l7>f=x`*+AU>Ex3?0j07U{IvBb81h3^th`Ut(RXuRDwV!gWo0C65;KK5uWhegCmW( zD+e6Ic_S*qYs0$WE@) zHT=!c7PTjV#CmgpgQMsJdUVwGLdAkx-^~u^-VUS8H4J?!v>O2x^@kPg9Gv_lt8v@C zd=Qd2d}~wFm9yb$?iV8K&HcUmr2YLJ#A~|ISQ}u{e~Zy6ZjJH>zfL%?_ED~aP2SPl z5?*rCV}}KKsztKB93**%+0IQzQG)z;q4U7KJ@17E`|>T%g5=>iMVcW|yg0>+g1OP! z#;BUJd+N+ubDZi357Mciy|-+5Dm^(?>&Q3n-r@j@)z3D5faLgJbgv7?&J#W^eJDRl zHn)LHkhdPCPT|ngSX*RMMNd>0H%8hIdQrL=@R;5zQ-4UCr6Ys~Q%=rS1X7qglvpP^ zMrFfZd@b5hXJ=<;+d8v}VrPoe8}O_hg#2+2z`7}xqc&pvCPXJcpbc0~2A z%WdTwqs?ZCGltEwBxu<>GVV6tSB@;t@j-hSdAOADsPjf$naQ-|&&eE;tFiw!5UoRn zj%cW~&DfpaKG}xj@u^?sT5!6no?X`WCkZh_7D&T`a;V!frU>NNLVPLy5&U*6-;Rz3 z4hfZeS9n@p?FTWttb2oc+X z^LIybag7A(ngyyF!Fs2cz&dTS{LD}c{oSMTyxv`^PXKy-74!f^j+&=IU|}X(1w3>X z{xvPntA{S9QEs@9=YWXDul3#F?-~~W)zJB49?%kUaRqb`?NEU|2U8nVK58!NpYLK~ z)SPmVm#!Ah!28Re8jluc4(1lroKG$6tz50C`FOagB_vS){9zAxRGhNvYcA}*W1n!! zp;t-;So&{!<@ruuY+8y@gLQEG%f+&(R+!m2no_J4UKpkSaP`|XS9W%e-()h5K2CG& zY%mFi$&e0|CgdK)UA-pBsZU|m-cF07wnVNmU0JJM!0g}R@NECANe#xYO6ZnNPBSDk zO`iUs_*Ds&THQ7uM!gjxHok8udciRwlf|4?kc^v_=)lbtd8_$W!x*;Axzjt{#D^Fp zN5vwceqKzIyT7cJv(WvNx3z}@(hHe9TzIEJ#8Rg#$fe1;DOKj)H5FYsYumQ}#KYk1 zEz_hy2qfGy_%|a;+XGSFi^BQX581w}qeXoYm)M=LC$A#K z7$fh*DXptk<|rQ!#JWfk1^ifJ`_Yvgu=;eX-p3vTu{=nCoT4*x4K3c_I_;nOn*VS5 zTFTzu!PSKtOwA_%995?_q~?@%uy_3_FiI`_%Mnf$3v-Cc69*5V5Et+xz|BJ~D9rP- zfjxQbt1 z!T}51k3sxpMu88^913HQ{<7a37yHXTBEJ9#6c_i`{lCsGP||){@Q>NW{~!J6k2e2d zfj=zphXwwyz#kU)s|9$txCKSHghhZb9S@fX51$A>7wBId10otA6Oc2=>X+XZAXgAI zND0`t1wlbB!0CRhq0v~ox;lz*a@xCam;f6&2b;(UFtRVK{ zOvg=aOwdnpmQoAG6`Qj=10h@>LQbd-(9780X*C{m;huz~}zrs=z0y|6h3hQOSQ~yhq?YE3;aig|M0Fq z%=I5x;6Ez-hj;yd%v^t+?gFcr;!N(qWcJ4bNE(EE|NevfNXQTFKR`i7MnT0v1Lhd0 z1X$SUIK%{`B*X;7L}ZjqG-MPE6hy?d9JCCNm|59aNvSz`Iazp^SXfzpo&*6I1qJmX zDn1$-J_|W9Im>_i`q2);K|#Pps6|Ae1>M6zK*T}#(G8*k7AEflOPN1cGynWTxQB>z z{{b?}LsT@N01O*+4*?PJ9ungH`$)h#CW0^Ue-IMReOwxDsRwv!Cdjl-_&l#-vry=s zRCW-kkDt)3EFNJJ(*RWZWhlnG??G-`>y^luYQ_+ zUShzcO5UI!-4|q#nMS3wQS%l>wRmp8pQE4vl87cbztPy15NjDv0?*QVzlyEc`#?^` zwNWriOw!6^&C~vfzu%$ezT=DPXl{ZIUcLYl^yKI6FK$9xID$`E9`V_Z2<&~)`;=(- z1iWMQDcMRt{avY1Pc{gwZ$@!4JSVnf-j=MPXjNwx$7&><#!lx8ZVh*PJ(*ai$dY8?f%wBE&n>?+YA=w{D@huUe0^F z?cO!|Xd^ixdMJ(jcb1xqyB2?~57WmE`+#CmpkQAkdwx{uoOHgF133|?D_#!RV1Q>> zmwX@@N7tTC)Tu}E`8Bk7cZSHhWjmdJ>ou+Sk^sq&fqqsWN0VEcxj8E??3r@ zHzH?|8ld7iUl4kEQ%y?dj#JrnwA3UdE^u+I4}TjiCUHf2R0l9Hu$JxDRyc_9zWmPL z5Kn1KhuH8XDBy9g_j;&ndQ;mqmH#UJ*3r#R@Pgq}{1eTHweN=dKR^Z(uIJ6c+qHEK zamE5#+ANN^0*DL>B(zy4pI@hTH__J2xHfsuTbzBUYK)UfwA?^YcoIavY7xq}^xq(M z($TqvE~-1LO}gN9iU6{odDqchTH{%9XMNA=o5D{*oJWp zWES7ZmZ}!_xW44~21n>&)N8Okk&bD{MWBAwD?ih)^jME0>(NBUx-!>FqwPD*n75aK zaR}e=)GJ(9EUxx{fIyR044( z1v>vWlb>@k9_N6myIUuD$~ARp5w~L~fJi6=z6bozr(+-dtkML#Y z=nnp<3`pFLN_35hb4FPc>h&x;WL+nBbN2AsyAv(hA3_@%sK}5bNoPXEB#u)ybJ~RV z2pb;W+FKp7wgHmM!i6=SFKa|OaSZzGpl0m*3%fw<$oZazCn~i(OI+CZHq8V@zDo zSl95raht1?F>FKYzJGJ>#j&D)nTNmbiG7l-?`__LvRrse4J~nw2jc@dC|geu=L+>I z<+~+1gHJ2%vy_yxy;(Tm<2ta41*ydL*(JW?a6JX4oZma8yNjkfB0%h$^?Tjd+m&rm z#cNOBl3fws1F!OUJI2BZhN!&Zqqs#LfeE6`M-Rm?hIvymJ5%h_-Se;GpUvysLS)JGq#WhoQ0DO^Xz6V-+V|3eX9{r5-lB&b~6!|LC>LA$sdjb-~ z7_!JygWjO^eT8O?a^wAzu}eGWeCh?QXlFiFn}nc8Z?7~VtY|` zY_lWQ`AdxiCVlkT?H?4;V_}w8;@}Pr=ZVd|r%D)1iw!`;6gidd@ne6h^Bos_9nqaq zgQL3LtlwcEC$CZ;Tji)QhR?cW{B8Co@T?6W&VPQ&6qMRU>8jJX9WQ>4i;`bi8>gKp zaGcgl6n$-Guw>`ar&o816?tK2G{B(jy^xf`{|>Z@w|Gy^pR^~B4Cbb*CQI-bK*)gp zHyf0a`~>U~B;C>`m<`t*%1e8|zG53o2QifDUkFwk#Z*Sn@G$GZ+4o{gsVyiRGO=Xh zl6g^OTi~X(e4wQOf0IjSKfhyZrF1$PwbrDHx}d+Cn34ne+F0L^P)=uU6{nj1SX=8Q z?T1Y+p(7S$JFBka{1t2MR;E&VxBPh zh;^IG1XwIauW904YmJfFe!NZs_@_&(-%>Q6A)vM`&lMB690!w>_@U0Yj$!qcuB`MC zOi${O*(wXmyt3rs(&OouGLQ$9mp+HTuN!i2iDK|3;CDc$knF*MXkVQu-XE0ZhaGlc z%bhB`N?4!|UOnUSL~>DH{{ccT2!<-#3SAIi;Af1o=4Ct@q`~EIxOHohjGi%jipHSUVL}o9{iL`Y0xl z;AS2VEkLLfv*B15`cL#Ze*!9!L&N+3P150Y=4+Mdj9G$F^8 zw`M~BTx~InxRRM?YNUuhJ2_xW6pz?HLhd=*!}RJJb+?st3F2iH?Ru*No;H~*D`n6N zi(@wD`2niR=Ck*!$Co!8DuNOXTFK|{aIQZr%bm>+dK%@-$?#F)HGYJ8dr0`RWt; zdC?9)sq^hfvgSMajR~nHZlw&HAW!)^vhxEue~~>goi$&o#}ns6>5|~4wj}je_SxZv zVvh-FM;q7}98#GlDX{dnHvU&MJX-8Y6@XB2J7IGV#_wSiG@Ksz6x!+GTHmZ+|dE=YRmk5C$G2GhPIP3777(teLm~JX8cq( z(Zh67`-NJ%npJUWj*DkiN1C$OhH_pigt%QUO35+iJ1 zOipBvkXemWii}KXaR)h$G;hu?sSMJY^Z90SKG7BIk+rXf73RtI zIpI3sHw?0hbhmS(evchJgga<6{gbw3x32F90hzsbzn?OY75sboeO#eV+h;6xg|&L8 za>q}r|7=#jyb>Kx*E_J1rGXgz`w=Yj;{JbRHMZbFD0H1$ka|qoe`ho67{H}iH z->SwHx4nkKPF5oMT1*v&E9Vz;%Oj@WQ9i!vwP6L2Ms?f@Cm%33v$*`D3r%eI9mL(~!l{hUskg+A-qahITk7e>6tZ6t3gecHxbBoXnAQ!_l^yw)=l(9IRpc?=S`x z`x7mF^`jS7ly#rI5S#r@^!%>h@aaOV<*eK%1wHxWCr1l)!aH6Y1mhm=YcqKz*Qx5` z4k=`0Q353TQ1ACrxGcOZk@fuyj=JWLHdnRZ>EDH}8p8vV3ZnV4?crR);h3C^0H;Ku%TkejKSuxl9sm8#p&uYc zvvQ^PIi(tB@`mfGXx0+sD-e!0Z;AZc@u$>=>@qR~r1i$UqhoUWbtk3WCw@(I?L}q{ z_H6KP!ZHI}@9`-{jorp0Uj#}aM_Pp+`q-`uU3_Q8?OVTxA$aio(RhaFN3=fNd-Z3v z=8bWfPAmL`nd|BIR@#4nPF|}NDqn(!+!``97Z?}3b3$|j%@{djSRI}F99PkY%PSoA z{r6AyWGq}NVPD>M35FTILKuahs$SXWmCd&`-Bl{pA@d|Jj^Dnpsf}2p zaOi%>yPIf|6Wr~rC^p{yYD{K{?h*T4zwl-GT?e17|NU!Z%^BEuew4)eHZ<5SH%_s0 zlnh1l1tsX~8}ca;_h`N{RoS-chK5O3?=XJP3*uDu3GHu0icV*~eUFCf3W=$|YqYk_ zLVqf_q$O^ZPx zYeVyPWw`*fhSo@mTGRA_W+?n{Y)M@e_EL5|g2MI=H;T7AWiAZn`65nSpG*KpIFv?O z<^z{Dk}C-kCM%w7Y#kWZn;;cF2{Nl(RE7)ZhqQ0i=ro9&Rism6B9k0x_A1f(+;3lh*r(TWxqQlV) zA&f1tR+lP;=(na@6fvA9Hd;orIqD!XT|p9AV;3ET^T>9D6_@5lTdsZGvpf*Bruo0C z-9INvJZZ<>6Bc-Y)ncd?J+tQV632kw>p`XW+7Q+K{aP>8H*!T1WrZCs_{J8A!gMlU z9)3aNSiIRdiZ}9?0o(ma?st1(Z3<7(HgBN3)Q`5ghUhtD#e$KCL@?J*;D{m#2_x6} zfo)n)7imuygcT!Wqg27HlF}4QIOu>b{_JMaXRiF{zeu5E(>j~9Ta?82&f(UmPjamS zWON<+bmmCg+nVa>+pTDDJ>3d*tY(RW6U?#uNRpY31YlIX!7JZOa-X^60xP-RW^b$3 zJ+5Tj2)^BQ3}yP1GWB2KVIp!hd$$@B)^QISV#bwk%S zXQpXQw(ZEnz8|$1Ad6q|6$QNO$_&J<}PQBMH9;8t0#dWAd zbj*4P+?9ZD4Z-F*aDQqyE*tdYKQWvQx+p1uFx6(jMUz69agT^%1CGXX5>;~$3`T(H zPwqIt+h?@j$Wcdee3>x$%uZs2%^4i>8C~y592ONbfB#hHc9!eg>JBE;`O-T_MQx$D&MoNQF>B`J5LnP5;Y?|; z-2H2=r>9^il@w8?te=Wk|JJtZ9-&?CMieOvV;S%>_!*T5{AhfrhsQiniW*xA3Hvd1 z`201rNDAU!GOz6YAX6acg$b;&o?0%REsQplDFAcW{Iq|Jz;<1r@^Xj*Pqksn4l1rR zXJBBwIN#W8^z!U@uC$H09hBZ|KAAA7eOGtaw$`umh5y#yP;xCAH@f9fK^Iekm@`g# zDH&xwztYH_#@rs1D%7hyHE(fA*}Y)M#R65;vp`nZHdS|p*vhc}j-ekZHa1O%$!M~| zBL$18+pB2ye7}WNt-7An;9k17?83^QLFYPVIgAr#7z_0FN`0>8K<7_?v{3 zE*FbF%(YVMTT>hk=liU-9#&ECvu}?ca-xSfNq=M?pa7JQRaO$_oZaNH{sj}|qtO`*K z+?&R-kjWm}dj72T+K`6>y$q*<-!}OILts>J z;;2mHFzNKMz9Ox~bHtDI<`+An(`|@0xV!p(u$=k5Z*J*jvYrl5D<>y0xn;RGja6Tx z-j89$D0cKA=V8g8-TDuy3#VsRT-?c%Raom}kWXi-ww=v)vW2m6wgL(wB!=L|7^zbD zz8|fPc3tCiFf3t8Cr4%SMOEA$-utasDGtr6=ehyj>kTaLmvUsQ7#o@zT2e=w>l4=v zi<`EbM}k2J@pB;1`Ms<^6Gt)qI>F}ie-Vcw_%|ehAF>1fk#M_X~!54eH{sIqcbS{#h`KYx0!ICuqop(FPy zEzF=rMm>;%1!brUt6lV?=hfWjAT6)SlN-{WnexPCa_|7BrM4=^klWQ$pDwfkV)F3Z z^4_IbAfmgxqYvv%F7CMW93uVCscq?d0WqK!yDK6wonPe>Ta3p<0MHB>e!nKyn(G1z>$`2K5CfGmY%MRQ7=la72O zwiIeikycyt#^mz2Ko;%SU474HydEx5Lz@h)@goHvQP`>t$Vy7qp}%8B0I zbdR?|D@64C7!XueI^8v*_&CLOc)+iqzU>`B28Gw!vh~EVH;6j&xLu+%|5;ZaJ9jY! zSbdTnD+I`>NR#}?X4v@1>@2TTQ&v=)V)~FKK&=o&CeWFj=mzCQlF@IoRZX-t&pMpp z8?I+oY!kpfT+4$`d7ggbPf90eD?Ae$z&&zk%t{Yn^M17XO`F}z|219fv>zEmXlTul zivA^roPQ1cSym*3?@^fJ133YLmx3oL<&o+MrJiNerI$L+@TKmPF|>Da!bIqim?(6W z1#_ikg$wM}Nbd);1?*o{arukvwEk}XDtzD1WEUS*zLzEXs1e*quuo^tSVeCUF!IdPB0Wm+*U7tbkFs0P5n50@YY08^~#R17R)ftXhcuQqwDp$|dS`A5-LtoN^92 z1OJub72tVE1q8qO-{O@XX7|`}&QH|@g6C)~p7VflMdCo4vF(MfKR_5Z-)dW34LJrX z9J)hzDXQ5bVjQ1cFu45y!P4AEpvI`K;u`90GxmJ965HE2xkiu8!)XWUJ#<;j-I+cd zYqgR?T4_|n2n7fQ;DP)tH%w}EVFoW{lHEOvMG^NW(pxU5IKS||gGXkXMK{zXPV9kg zgXh^e%%J^3FC69MTV%l*Jd+Nt6~s2rZM5U{2d{V(ig*ZsC?iJD+_xDD8HN%3`R2H1 z{Qxb&f26eh@bFhD@mN3kAQtU8Uu*PuDK-57Spl@V+4Pa13D4NbxCgoc&v>5kI2rj# z0j$-)N>Ov$*iA5zD{x!5=`Jc`=Wsa6fmPNeZm(<93S~Vx`uquDpwPDQ`o*^J&6CS< zvDu+q1-p%~@cxZqRW2Ix5bonmm&nPZPL;f@-j!b=$vfx#ZIY^@h{h?%lEH6t=ly$u zc$sM^nefs1Ee{YOT(pFIsB>3nx*2|e{8J#lnF;FjAZk^prc7dQQ-zgf%t168Y=Ble zIKguAHPpJx5q~?-ibKn#$GVIP`!?wg*H`1asKdO(JZEfO{>W#0Npx-KQ)502U{vcY zWb4qxRcuFWQFey_D)9_u7tMf5e43aBjlA@Z)TUMomgW?nueN9lr8L3ZeRbJLUJkE> zej$mC*tuD$QxgLpjakyiUC>@Bli4l&`Wf<;*q!cw$;^ zn>T)13(=@&&bA$U_~io;+d8OC`8)9Zsx!v7`OC&N%8jzHS9y=bpM7#OH#+axU(<}e zkyh&Gt$u?L&k*Y$)ZN47!p&p38;H3UvqIzx#i+7MclVJD>gZDj_u$J9kJ$6W$%5I@ z-;!v(Lk!f+KtYaXK|Q{sHntI|3-Y1xFA1lc^mCiw=+=BLPW7LHmYG|0|NJtewLx|FN5}Z8yIH zQ6~rSyzay+#{s1A+SzC=mO)<>u^_dXAD}4c;mA-s-e|HEi1IjDshe znHswIiG?43gW&k*^YY(r2xa+zmXip3QSJD}(zDLRN*98%%~)o$sWkQ@Nv3`2WdGQ(3&#g9a>E@hoAaNNVq1jS^F3|8i>xfgfO z=F2kDsKYi#Iq*hen|u1nE#7QMx_g_ z$xM1{v5j+tTf)Z`C{!|pimJ^ z#YKCTK3c_Avt`PN8%BDxw)IFH!PLo6wTm%)V&8CDPj_**5v*$8|0;vlJW5{%IchMn z8}HK>U{<7RTMRLxm|64OO1a>8KIT@;R39Q7-hFns+PWC%eVE5&nRGFG6Q-(j>zUyz zi+`6sVxVs~THwha$;7Qc;CP7dFT;d*LLk?&(5>U9 z6WY^Cu z`K)5keU;8S@(io^OAm3JcHClt(AVS*O^NiHf5@WSJU`;f5S2Ee@AA=KmH$ZL%ACBMi@y6G?1}f|88Ep9f`l^$a_YYOc862 z+WyXGttFbvNpJF6DUHMO*^}rM|82;wAN?$!(B@m&87PJ@Jx#hDM+WuhsbD(3 zT0bTrbTE`!CTTELW^ca$?fzug|CW0|*bSM@S=Cs7?+$-IB|p`_bYb^f@JJ1lLUURl zex7E3Or=iy5tcN(QjC8+;yV_uvZv%5&hsv>?xmFlImYB@mkd3{f&DSR+eiKcKW7tR zJLlXmb{(}D`@89ql_ldvJG9S+PbXTDr4s4ai*+nc)wz7U#TON^>sspqw;$3Hf61|) z#pP=65_o75{ZQO%mP~^p0?Y{HCp`bXg6coZk-@2=plz7CBamEW2tIEh1McqS7v7-A zA}cut4=N6)KBewNxfH8}%Of{7w?eh}9c08E)=$l>40$WTc6>iTnEE>!*8w9;@G_XU zW}Nazr>!?eQZ-JoH3X(!mRToaq@>p_Ju3^}3^!*5W=t{%Q-lUNGjZ1t<$9=?5q zy60Y*GtC1F00E8!zsh>2BfGXl^cY|$URcPT%APoHl7uldyYyQ5FVo)V!C$!X9EGcJ z%6_`|UgoAE%#UVkD5)5ChLqtNCrwL0Y>9$k{Qd+aaV}`#&kB6KoOD!GMg5Go&96hd zzg2`g1aH@|@h*a-;zV_`*zc|qOX|O;&8WDG_y-(9g~eFO-QyOb^at0E&U6sEmGK6e zOamT%rQ1>b++u1Icda~Dd)HGl^IDnnn>_p|o1NbO!0zp)eTzH7v0Q}ezW4p_7^j3V zhmtvKE--yD+%1jWf(L{snM$|is7mZKOR4=%C{-cxM`+ z9e(W4+jk%Qn|(UfFy73abFC`hJWV%U?r>qN$br3JAWN=>L-zrR?q?qA_A6TMQL71>fAKt2oBYRi_ z{~UGVgt+7oCr=g;K3I&CF=(xU zzX%FYv?5J(k*q2h;&bvn+?lf&DJ^f6oMVFUEvCJ(H@hH`LE1ZMo= z1EL~OkfBbX7>V6FhM@X1l*z@`biA87`V37wQGQYW*isZ9lzUEp`f4h|<(gM2qHpHg zJsSJciuNvCo}m~}uRfF?{2 z7j0p{D#{$hrS1Hf8kcvh%%X(gMHdM&9UcG54^U@gtt57t7|mrv+|lw<-n2b=p{#{8 z9h+3`2|hwY^uy1uo_kbuz~z$jb(eSD<(L^8{~z|=0xXVZ>lPjY1PGqs9y}1-JtQ~* zf;$8Y3^3^64ncwj2oMMo+}$05yW8OI1b4{oyytvJ-uHY*?!C`_{{Q(h&@AlyL|D4BY1}x7HIABUi@}OuSYnm6 z1bKqs)bK;Do4Z9vO)<+UnC5XX<`}$!YyYrT{{t&4LpEXIBji$F zp(Z1pFl=ysYGGJ6SHANtyh|Fp?0NhdfmKWk@dIkRX9$~oec=n0f#SHj)_0J%GQFJ( zJ}Y-dZK(WPWwWo&{Q;S~UX=Jxp}74)?GJb|rhaLs zfZVO>no@^oI3lSX6646c18cmm&6UkDpHgseVCIp!_kR16Z;TbRq{={_Zr~3z5C2J1 zLP{IB`s8by73371Xytg~wwtBsX=J9vpy=|qQlzYPA%>=qmA-vqrRI7wCI`4&i4i?f zSO4@xj)#0O6~7wzkK#~a%)`!@95c48eCrMU-RJ>}Oz92wD;5&%>bF?L&@dwV$cy^% z1?pOE(hq31MI{}gg zPrBs!%1Bcq6AQdzE2i}TYL7_TGq339QhcK0$yup~7eQ9HT)AB9pKM+O@n#9A?-xl% zYTBsK{QUMr^M$#GQxB&?&qx_Y7G#~NAL4m6mVRR2TtwrLJM@gP&cBSJKSN|Pu#9kW zhNEI_&zv@f4szc~W#T0?$1Q1BhE(fgaY=JQ0Btq-s|SLJwv z8{KrNc|@B*hLdUH5idre)tHSLCBb6sB+#cx-efJ0npcEaB+HKQQlODT7O6XqrFztk zi;d2oLiwLLZekQQqzons3S)d)4AIG&QpBT$~o_zrJ}lOwBh;rx!iu$} z<4bVSTa0m8qxE{$xBfT<37nNecAKhW(0a!AAB;wq%%0LCzf;;B3c z;#TuJ}7vX&>Z4|kyP8(y$+^!H~Vzlc<%;DK>KLH14T zhJdUaS7aGQ6&h8<%&W(?XS81<9lLuuyrr%J&>i&AA<#yJE`HCL!tFOZ+*8K|)Y8vO zKR3gaiHk*af~A?B>nt*@^~;H9*Nj0Ml{%4E%+7d~2;*Cy=an&r)d-U0>?SkZC#?!8 z%LGcul2dYz9MIHMM;p+DetE^Xwp4^q(6R%L+|hb*L_NjfY()r>n!!s#AMYdZ1kr?W zzIWiA;}d$ZQtS?dugx>6ltjpv-4E2n_RutqHEev+dM$m$-d=L$7?lyl5zJElMCO3W zOB)yHlM~1lZF9p6!TeTnrq%*;&(*NIQ!{MfpES;vg+D~(P&$Hi7B1h&vERs#twjyu z`V#*DF?F?n(m$jhtjSyN<~N z^RL=7S-~sI-NME}Z&0WWs@IXS>=y!}^hL&Q{p5{9vyR+Pob}N0E@a27CMS7DV}Yi=@PK}`u9q<3fFtCBxE9$BMncM zzvyyz$u&)Ip4UcZG*m?<55VNQyX|li?YrM1)Gc=EI%0Qt+Au|&U^Gp%ysPz>oPxmoL z232S$erz{3j=l(Ib;&rY^2ZElFwNOk3qRIwrC=?;+Ye2R6TUP^=pP+1o_szkjuyuM zP>m45ibUC0xlC8qQM!9Zfz$$9&+MY~GvYxsN_Fs7r{+e;$HzKRgfFAgeU1}Jj#M2Y zu)6XFtZAA8GrC|@zxA70m zEbqV>8a~Jb>+`x)gO!VVLjZ zmII=5<#P2!-GnB-36^g6uForRtS9z^RGHcw1R~&ZA4V?;ao>oKSXtkSS+a2TPzU!u zG1g=1zIk{BziubB_N+r)8#PI5Z-u@n{QI{j)6OoycpaK)NJ42q$)zy-pLR_ z*Qzc{;4OYC%w22>iixE+V8c1 zDS{`h$o%8>u->PU@60TaDqotKDlBfdu++tq0p%>#w7Q5Owb*k#X4aY64r~1BLSkbn zT)WAmC>wz&Y2l11mKSu;&x(3Ut0|ZoW@?YoMcRniwLQY;J9fR{H(uHC;@#_gkN+I=vA@hpKyQpuZIQbwrRZM`xZe;T7ZD^p&2}>(X!OweIvntkNxAT$%Z|&&Hnv zAk|@y*HoLW{ zUQrwVBqXN>#vS#DOiIW`bXWCB8@HO*Vd%Vh!ux!Sg7z`#b!{Xw$KDbDR@-_SNj`!h zce~Ym+3Vf=*E+pZ_jMu@BptX@x00iRBI(Zc$`!-R2ePl+sIAS;eR-GOD4^1P?l>BY z!R=P*)ahLZ97`37v|xJpGQX8~wOLwQlgZ@u^`rZo-Kt~@#91?T_eKH&AkbB{5y>Hc zLZrJx=AZ*AM7zz)VvL!KZoCkpblN^S%Cn%mjIl-q3dQh4P|NneCjr-`1fJeBs-8XJ z&OAYZ5Iw~WeWy=D9jUz$4Ndyw3mR}aPd8zX&(qbIGa9IjUv`JiW%%9Z3fNmTH77K$ zTEC=B17LPumoyPr7EZ!cM~EB6?zpD)pSpYf9{H6;yk$iiExNSmP#4Clnjn7txGhJo zrR0?xP6yG9Q$9By|F`^;AzR+-9e(_D)|$z z9t|F5_SOZzdzIq|%qsR)o{V?O{E$kACwFLB+4kikxotf>S&N`7GfrEQeBuDM=9Fi!=`9=4)-&9` zDe;vs<{|kpcOIrhVeN%&! zN!1f9e_hSw$VH>L$-thAvX&CJx$b1Vnv-+Ov7(FFHU##d3p8n%C|Ey9t>O2P3h|3! z!g`%(+hUNY&Y~By>=j64mA!l?{9V;UY#`VDo3=!dxDjDok2JN~RmMD>357R@q*`~} zb0gEzO(!RIy#+Z3zK5D?U=kmnC_Aqt$R%UDnlSDWHBrZTJa;-xJ_<#+Kq*L_be4XG zY|g`iT(KetJ3$zeeg+H&jEIcclX zlB(*ctJkr|xLu#Ak4vQZsMeNNN6RW__Zi>RX;yqeos}>g;A?2Ym!o=;xF+%W`)YT zBkR=(bkC?QdycCxkl#KO&Dcu-uu5LKD0229yVv&(gYxVl41_9m4~b*6!BjO!NaQgN zWQ<1=5yjiCCRHhEy3?{*Emftm%wOryu}m#-u~`P9SJj(2x@-jrLuVS^mOd?URgY7d=k9oz2<0C_zf2b!X%NWWP6+UKalcM@ zt~`L3QQ{rEvs?LE&M$_LkM9(hEO4bBl?lB9;wFASS)yHBrJXiUK=zCkH()87p#_+y zPsG+~bZB~TI2g79k&)HaS4mjVXHfHnEj(|?q%`fZ!s+qw zL~NXy3t`+KW-USEw(@xt&J})+oGt#&75;sT4YeC?Sv%n5bofZ7k)AzLiN~1B`&r( zcWV2d*Jsb#@>VfZxDb6Z^)7>mxVT)JWDToJfB=``w9BgE!)g^d_IGz>+Jq=c_c4@$ z&~|u|9K}z(gh=R9q;5*iPb7x9dMZ&p97&}!s>+j(dCKd;S=H$KVxFd3$@6bUyi#i* zUfRXPQOMQ3B6=TdGep{$8P;$V@?q^$RXcm#djb_hZEGt(PEESwTe6f)pPHG)>)q?Q z^-8xe?v0!Nk&3H|8^~AWceN+8LWBGHSB5!LqN^epZOa4Z^aWY57L0uw2m1+c5qwBI zCcB7z5J+rn6E?Ths{Bv+BZAZ&FPfM+H0Q)^0k;d^U7pac>d2Q}z!zEgy*i6HPAemI zcw4(L12CqLba3D<+3>ys>ZIa$hzQNa`X~HhbRAU* zE)xYs74~jv=Ai}W3Q#qv;KxQLU&x(}Lt`lXM)O*WXSRizOC}oxvKkW|*}K@a=jN`~ z{1xLRexBy+=yP_{TTI&MSh!2d4YF6^UGYt%Afq*I?KQoM4aNrue(;Mr@xK_8Zljygb zXQCNX0v?tS7PK-(VNsk$i6+(kvzsaZ;cH=f?f2?hIVJ)+< zm0hj?cvzM=O8g4=m(j0}giaG;szPW}a^_{;)yM5;X{;IY!TcY#COK+gq7A0Wt#x_@0d(b1i# zs8WyCn#=0>8kruca9F}ib%K*r+gzM-``8Eqxw8gf@WFND$h(uSOar$>6&$kJ=8h0R ztkzDj9U(wn=TOQ735jr{c4zJ|JK#$@?9*XocSU8TPmz_CVEI!q1k4zu=N<7cNQ@JV zVhVFjJ6!Kma%RB%@&R$L@yva2%z)nh*V;%sQa6TE&< z@ZIQLfHVY#f*TnuXeS}fu+xTMd|u@E2?BXo2*LOVT1ev6qt7`B_mkBilJfXUACRBw z4@9!oz=u-oCZ7c=?8{EvTPgxR&!g{95&TO}ApucqEUg_Dx8+SE^D02*HseHaLK+oI z8AE}BTXb6o*GZW4()tbvEY)2wFEruK=&)SXri*85?!)Bt_IX^9LeDEWG8}(AyTE%V zajmPMQrA?|^~Hgs|8l$b1KrhxdF&2Mo3W?RO4)c=YNR{+QiBBhDmeHP!~_yX`aO5} zQc|xR=#?%_q$cPJD3@Evrj$o+P*AhiZTh~V=j9#Zq6R?L_Gz zJ|3WsXq@+r!Y_fR^O?+dR&J96+FWO?H7zYz%M=d}ndZl|2S~ksv4;I$G1%qgR=%TD z2hf%~7wPQ`o^zWS$IgF^)FepnqBf2yDqxjRFsHEqATq$LN$XRsgDwGiNw)5yY_tq4 zkL`*)R900&>ZM1SZd;05uG!%g&pNB~!IO2q776>gRkgvoDetOTst2HNow)ZK9z5hB zlXIDOf>nRJ;vAqompbE4Q5s7p|{9O^S_bj zeU=cOVxO_2j)&i!Yj3r|r)aGHs4WNRL0l5a65#DBR{6esDa(+Ky8}m|s^od9HLiTA zJCG7Yeyk)Y`2%Di5OGH^F;v8ZzUDtfjh3jE9n9GcRlwVU>E=rvYU;@%K%OE7=YK?@ zfJ+u)@Gq>0tNu7ET0Ri}jrKxCC)y|05Of_K+?&#d6B4JLWE#xng{FTgDKQ4MNYXuk zev0DaQB#zN3jA10T~HuO*vV?YuLH5rb2ic(E3~#^+0%nD5=VmhVqzS7?Hob!8cU|@ zgAXxnHMwNw5h~RFs2Io8kPO!_MYw+RnkbYz&pXVYjE^9SEpZ*mORFvG9%xnnBo}Ax z!<7X8>dTv&sdwYCvS0Z2R%Hb=*5FJ+Qw_Dm*G#kc&Tta&YST0|cKPwL@4jA(-zDP0EL4{dxYcA zc=jr~=c4|^SBNmm+mh0X;NB5hik|gOR#c;~_9%INQ(PZyVIX)cnQ#&@zDB!-HbCHu z#iy5PW{D=+;5D*#M~X=<8VfVCDm5T@`tyG>5kmH5c?f4~ApO#PN9%6z6YSYDCnFqo z-f=_C&@G(ezNGeuL~mZMO<2=cSXH%0PTihG{RcV*0X)O$I}10lORrpu1W4hWQ=LP) zzSM|@_WYod?4%xYC?Zucq2bqL#?P@l0qVi3^jjU8QmqIMK($+ZXHm;0t=Gnwd&pdA zk~wegn2=Wot?)EOdE-GAE*bJ z#s(tYD|-Y@q*uwEfglZibG_md!-#Kp%qpR%ZQFlG;Bz#Bh_xzY6@Jgm$OjTFbFs>!m)9QkCz{ADT}B> zrUE727(J}rc^~EK>ZR|TZl%i)FhqFz=x(-s$KSq3tgIQ2Uu$S;jD#e25RMpnV+}mB z^1q?Q>=mV}v~d{TBEzVgf?lxzaW)EL&!bGLwZmS%3p4%{`d&A$J<$$OKkm-kzVp)B zdp1+(RlIA@qvQK+QKvpas)^^E^5xrFV`Ee0ZeR#rD58dUCH`*(IcamVbhqj%2fyUB z8`M>P>|l73$ST};U(rX90Fi*vudxaA=9RIiQippz%G1Yz6tyZZJN-_blPi=}#~kaG z6SV51G-W{?TIe?#y4Y{N@~#EWH<0BA08@V zV*QsJyHwfQR#f_F6gp6D*J1EU-2y;AQmODrd;8de+*G|eY)vZdlYnGpRgAk0v5*h% zcfXFe!-d12Gh$-9v@L#ssO^=1fJ)}8??3$1#rBh{^#U!J%jU>bjhM5xOuiBzh4CSO zJPGyAfZkIL{1mRcZKlSa{eC+*v^;k$6gHV{UuY2vvrfvM)9fNCQJ~_G#eTC`F9CYs z(lb<=>;9}@8XU_%7)TdH{2FZaw5D{DX*JHU2itZ;y&o=S5MwTUrA&}%8UIKf7K?5q zR}uxIOImGU_Z>-#H6^Ap@J1@~K;#iUbj)0_#%hX5lZMz)eWcPOM6&^Dn|buZwF&_Z z`sX1WArosBAfhrJGj^a#VYSezPh*maYIHqnWk|j>pp)?fR=z@s`{>(a_KqN=M8n#I zjNfQhEeU_5jN#k1{>S`4pC)dV0dtHtOVd+dHH(~%Rk!|`u7lX{|x|9f8-W> zg~?6MVxLY9yx+$D>_m}BO);u8A8Qm#fS$G_h~lFJ@(wy}<1R2N5seeGf2Sc+n+ZNP zcm1SRG5|rXlE2A+@5>hM;`CHrVCukKqyet^<9 zie#z<#WC`61AJkH{mLD*i9|g{1Z=N1y1A=+`Q}J2cKG&Kcbdi<^*1`m{aq=Fbq}km zNv@Fs`pKS-Rn+V9x)60V&IOfK0Dii^Sxms@pzZrjs$|CQd^gEM$)L)8uHuR$uIy^G z?(6|Eu%?7eXTd9}7kgh5SWG-&(Y(2g>;eB6X!s1_F>))ZXhtSZT9icC{M?==sref% z-JNr#h1TqWoM{4xRS`0FMjxeAYR?jUIia8(M~BvBSb;}bm)O{W1F^1xQWZWv{sp&H zF+SjJpEI)b+JNY+3AuW}MBJ&x=F$ck+FS#DzO8VNK=3pnj~{sRN4>zgvwkstE8tpH z*HInG*h%w}RM+^`NX&SQ!2!y)SPabidhz-SD{8}QDl!R(E2Te0EoAAtYhJWjw-JVu(YrwFU*J}njjm_fAf>mR78-PilOO1^iXt34zF=B=xF(w1-ueL&)@jR2pO_wWPxD6;SD+t|=U44v9blhRY%lwDP6-9(kN)n@x#+SkLdDK9E{NI+>{CA*aE|Nja0H2s#?z|?N zwu001ND$k5W~n=L)#|dkdgoXEo?`8HgKVWkJOCe%FGY^H6#>qLDVW-&coGMNS~aUuV5{y>7UBf_1F>{v^Rj6(#=Kc^ z1jX%E%LI<-=fakO_U>It9bHy_;hPu$^z*;^-2aYUoEw22F_*5ypj)HJ>xR0jYQh9F zruk83pisg2T#mR|%qp3ZnHbzt)K)hhac4Uivq6OB%EUmOShZBATuFdfdcHtln+r2uIho7T&MzW=t?-X$t#`n zj)e(*Y{ns0@^ffOjed_#&JaH{_)7DFoN}%9nJt+!Dx0cfVJjSg!N&Ak0Ma1XP91#y z1LSvaOg~e7=lC?6!iX~HSR*Bl)Lt$vUb5^mdN8HcHLS4VV|D1;3jYQPBjpWQ%j|=I zA?D`#6C1vk-GE}E{IRWs!m4<@O#3XoS4M2@>Pp&m9dskzt+(iknGkIp)%4#eBLg0t z0$~V}9(lLivY43Q*o4YGqR<~8a7EH9f>ATY$4h9TmJHL@%Um0{PI4oaawcnXw(N zSFDPvgi?VjFlve=DU;lhsVgy4_(|}aDqB93DbnX^Ed8M7N`tnsGwgSry9fyC+^Vwz$RsEDt_ z*G@@ys@uYN+MgX!c7E%eeIrrb{C^oC^>up28t8v z?^sdk@{;_e3W+krU8G>qTp4_>C4SbihwuTCR^=4Mj)80fPlYs@w9oll-6g{l8gs#P z*(cXH+F+0?nyV#e!8q4LnbEEC=^ZsUrqQm315HQ6dE8^|`8Mh&60>@ID*4Y&DUQ)4 z6%oxcAaqVPX~7I1{+WCA`|pI1TKJQ*S^NdnGxD3yUAM+$D5YA^>Ea1`C`^J7R;gF% za5vYmY@E6B|3f?s;9^}pV(2LrxYu9ft}hP2FiL4>fjk*-uM-Pc;Qd?>F4%tZ0K40W z>|T<0z^0NbbAG^o+)0h+@vM%RljL(|#o=pTKAfBPF(V7^GD*x(OjP;vs2Lq;#6Fse z z-}>A>1;R_je}G0#)M>PnDaVVf0TuNF=#}NvM)!i@8U}m2ZAzU>0so)5 z9;7nYd>iw>IjLirEx?D!j2#q-jg}RhpVZM*w$$|(QWj%=b`0f#leg$FKTEC#C|vDf z;OnII!pfHR;Z~cisPn5&B;=jGrWg(e_fKzFZmF-c&m9-bJj(Q`tmVE8&Uq3P?9k^1 zuLu9E#YTqDz31CWX?6;*89fwLHo*= zi7dIw+LtL9L&73F2Uf&Q@f-2tN{&v%6J$p#jOjF+VTDB%H$erIVda?V0}DcTcO(3*7_t0(ryAsAU-aeULoT5<}R_uy{6|yq|*T7P(Bq zcw#We6elmno#Tui6M+tDdxAIGQXPCxwOv4m@)rFJ2VV-!6F9oBg)?2=juy=jO*#hE zoC-(*Jx%Lfo2bU-qCn9j+3p`8|CGYJly`zh8nNo{JRU1*OJhilt<3xueAll6w~E6=7P#O1N%ckI??`wf@qjJQ*i$p zKk-LQ%rlYTd;JaieAGVT%WeiR|XPLi!ZB6E&?l64u#)qC3Q6ckq^nUwZ@ems&qwB|S;dLPJ*aeD6i7P{WP$=fq$mTDnOre~jBJW*nn>CRk1wQys3V(wTa$vv#wM8cztcI zYy83~aFnna)Wx{xEPdz;=Eo{@ygH5~CmODf#U2@j!(*Gr!c*|t{jE5qVWlw$p+&ZS zp~l8g+Sm)t=5go^G2_hbH0P<=zK{4kQFKkwo^fc@3!uFs$T~A$1ja9>ZrN$*`WJr& zX2@{<4eF1xmm#{mW}&OzIryOW@Ur^b_wz`LjA{8JtsWdh*tnR{jleg_e!{ZZ4>z;B z-z+?Dy2vQUp`sZJ=5jR2;}ZJM;Jb4#aM$47e5Tnb-l`$KloQ$gPKz4L{hPyPK76Ua zTxR}J&IN4ik~4%5$IX^51(43YdV==@6l)S60yl;G8;3%@_F?bq1g@ANMC6b63IRa>2cT~sDvdz-c0t7mm6$!i1il1Ks>{4}RAi0E z2!Os|{{U^h{90F+e14#vU#kb+u&0iavKwq)i}C=Ua}*Y(Lj=7)1*FJ0|ir z8SXIC0rckCf}ENyWyLYcc1WrAUO&7&-B6T<$1p3qoXdc@^oo#{h0x1Mx6TAo8Izhi zO;w#93hKZfLbbJHwvV=>Wn0pPt-6dHe*`C6i56;iEfEi3N9k7#<+MSIK-1V%ky3Pg zgF2391^n4ZJlj`KCB-l9O}!4YbtX7x@7^scj59-0`Nh{ZcrzJ*h|b_9BpX+vb5waW8bk8T4%KL(cBejXXG&|}JFM8h zCeBR%)Jxqo z#TvN5XQhxzvKdem*XGGCOjOcP8S-+pIBZW2?L_xOU&CvaPggW=9;%SVUlcj2KYo2s zT43<`-pBO0PEJW|cg~9;I z1kRO?G)G-$X@KENTF2s&9y)U6*I9}H@mcBEdi}&1lM(@)9COQ@L>%^2WFR}W!MsQ) z^YN_Pl4^0#B)|SNRL)cyuciqfTbd@RdS<2O*aTMoT)(wes++`Eoef|C!_vL9SG36I z3^0kM^>uT5zld{GaupdMA-bB1TR^WDCr6ZnZ_FxBpf^Mr6BxedmfTt?FFk~@$VW1;FH>Y6#X06PT}^TwpMoG{~;X(iMF33}w( zx-d3>$N7k5osV$5gadXCD4b{a4L!c*++I^>cjma;WY{5+BexIh22;rrt*pM&eJ~lf z^ql-+@Ilu=}-=$wIahOJwKbSlUfS-!l|jlzP57>nQT5>+fpNXqEAUvqG&+rEwDu zU0o@YnC?!E6!}FNt0V@|JgtFevn2LZY@Ign55OZAI@W7XnyDnZe#h4kl-3_$Vj9Z& zJa1N-w1t=V8enNR@Pgzy&uTT7L=RNeH6@rC!_@6KqA)O!mo5q%1T-GQgY5l=C!rPZ zt#qnQA*(T?>xb3;EEOJ<=uFIo2RvQa%mY=1U+a@~OZZb-;5uw^$1IvS`49ZTncO}Z z8Xd;SRZ-*eWHj%dw^+ycAViOoTdH%&InY=-R5c$g+%yOX)0LYVm9?k4$Wla$GMR{ye)|qdpk0>2+NYuaOeE0QX zbx6v$W^*%65AJ)}oMfb^Lm8RQ9o3JPkrK$l@uyr5Q&v#jPgYSWd)|gz>4lVOz0>?Vg3nb<)+g)!VOvO%h^<@zpvjwuTN&=Qs zf%>a6VyDVjjY?b}vDYd~&ptF+7VBJW>ojC>$M@6s5E?3KR^yWT@Dg4xDS6@d-F6Vq zEH+=EJ5P<>F@ zy2$y|x`ZcWrpC!SyV^+^`oxaz3$wv*T<{!EAiwMz8-(%O5X2gXNjRK3CjCX0yDtlleK-OvnEp!W&GEXcDn*Ag3f#QYzw2*RwSfxb(TMe7}MV}jvzXc zkskuF0um7_RTC@0u$kE#ojx*jUyw}q!|w|ZK|Z0Ri!3%45k+mHN4Lo&V+94A^TKQv zjbBv5rFp!-0f>$wj2`!{PjX_c z#^?^BP$j~vHBnqT$&IFuo{^IAJ`K23z$t;vRkou(XSaM8EO8Z20HNX&Oz1f53Tj>^ zYpMnBOr<$1kbC)J4-MScLzoZDWZ_uJUzh?ZN@|A~0u7Y)H zW>IDSY+>d7S7zDgACA92!y740G3)91?#-bi^s@2nKAyEMJXOyhkb1#1^ZSORHM!5k zVv@XJwi0}PwQr=c9Hx6-W;Y4|X~}rE_1tuY{a5OVNs+f;_aS%1hH)>C5w+9dJ7|Xs zPY^Bh0XbQI8*zP!$8yt!tB^k>_}G8$=7|E+g9U6B-XylMj@s7|<9ndWF1O$Yla$9U?T!T?iPSZ)tvBf~&C? zCtV%*S;KNR{1b3eccm0{gFg$cGll5`X(ge(kYp*H(A6=@%3E7o^hE&C6r!1)jN(AJ z0I>Y^?if>J0mx&m-qKhY`b!k+LfFm>wOTA3@j*ywx{&JI4r8oO4`aDnUd*M%Iz zPci6I2;R1PjB!P2u!DI|oE^L{TdZ0S!v*p8H4EL8pYTwpKp!~{7~}H1h_5`Q6oJ{| znVDMwfb+j@e7~{~V^--HdK1#U7VENVVwUzC|HA64uOuJg1@5ah1rv`IX+vSMlExcl z@^aYR4kiSRWRti*Sb+q6C?z-hlBXS}A7I=V_h#h4fv~7Bvl1Qm&_{_6E8oV*GLZ~J zLI_=H?E9?{;@PaZ7U}$YLD(1PXhm#6DmveLP0UU{7{6oct@<$#wR4G}ITL$Nu{EiM z6wXqy&rbNZD#QR=Mq`f#?rlK}o^JcT)hbeQ<$&5yu)Ej-&BW-QR%RlWc9(^3TR4ZE2p7n>ly{h}cp2cLxRj(Qyw0vWX}N`u76>Ncfa}>_DBnuU5r}6f9yUj$k7bCCQf*ED~T#sEHl0 z>y?U_iIKH2@U4^y*whS4!OqS{!J_P72z9Xmc1lAGOo0!T-!?Q949!i9pcE|XU}LBm z1sf|LCk4xE6Dw0-gOiJshlfH~_|cy)@5l6yB@mX3gtP?cr_|b8;13Xn#<~=D2EPLY zq~$;iz&IB&2mww2^Z;1H1U6&>!5~0N4g^TfA!{Wj{rm)xfk0TJJ0w3L>d0uowlJ`O zNOpiX!F_!0g$j{*nq z9CAJ){AIl%D)P5=cuqbLC@Si&>wonwkQ0Ae@RxV-Kd~JB%JVM^{Ib9=3;eRcFAMzD z0_?18Jp8PD{Oqh0>>T`Dto&S@z!>Hq_W>gsAOnyc$n>{&6A%EPqSe*oei%(gXsGSlI*C zU}K?V`O`)J-%1lO(O(k%hvo}nur05@Xwpf#LCCS&Z_cXH=dc3k5!Q6 zcgG2`{4~bjTBrFKR|#-L_`4QG2uLb??DLIh>xGLi9URyXn;g%ivy_Hq#{$jEN#VA z8abrqFtqbUd4z{gKuAPGOGp2Vfs>1yhnJ84m6*7Mq?ELbvWlvjx`w8fk+I1;Q!}u+ zJ=DR`$=Su#@4bIOV9Sx3+hVj!#a{&Mz*nu7BnW4g~+FZ2cu?zsnakkgo>_2=E9< zKl26mzzJC3u@MlTvORn(s)%G@`-GC+2N~yOWNK+E3KfUaA+Dj_$Rj*z&SjdTpIQ4Y zXa8QteE+v{_Lq$PHD53g8ay0u^Wd>TBB0anmnxpfKR{pU?&XGlfI3EgfF4D(lAi)( znLV{XK$mrUTNxYxK+a!sDdPHp*V^0<(5)`{Va_cOAN@k%_DjkSP)N~WFlbd|S@DwU z-aF-rN8|?xU-Fhg1i@?g$qx|i<`2-yZh202U3JyWdHiZ%KO}d9SGQ}}G&eJiNN&$g z9Q%Ilsv;_t6{%2ZKDrvq#? zHpd&zwbL10rfwu%ZTzoJJgK?R>V@>h{ANr*UL_Z4*soheEBXDH`in97{(qI5JaFEO zw5R2$jVVG{y*vu(3(L2WTNq&O*i}VqWBcEk=MOm=QIY=V`FV%os`!G$^zUuB^}Czk z2z`S@`1`x{_jf@z2?y?-oDWZ9Qfiu=wVSHuD~7<|7hmY# zmD_rLdia0!EEQR7y^ZU$W?Lj=B(Yq>bcYX)xREEnS_c@hz017(LI0|BjR9cRwjUtD zqUJ+9g~Rm!5x@P$-(iQ8TNQoFFmCs}v>>v?*x;k2?F$m8fAIvp-OT(~4~V9{7uYnS zN>}uut4kT;(5CcVs>ymriiMwXSS9g*4%;b`V1j#bNo}0{r{6uFzpDj`217>)|M|mc zDh{$u`4_d}$p4#q;n$`7!*=G^4f;o|?=P$TvdV8>-@o=Z{=Z$Xr2TB5hnTsnFXLZ1 zu~)W#Gck>2`kLm8F^<*-I=$$j6Jj*GyzX$_zF53M`T+`8C*|_**Q2Z&Ek-DnrtU2$ z*{2nwBOWeGM$$_P;@#B+U%I@VcVLn{2?Ufhr~Cr1XE)ZWuIH{v#8@NO&yy-jN5L0| z=8o8UT5M*SgoFeXe!j?O2-up}5g!VnyPZ|6Iy%y1JXhMFml7{%KHK|Zl2xW=YlvoU zA8Ep#X`~Sl^3eeZ?Hy^_at8%eEpw+ZJ1b^Lh7HdZ4eJ`(gICWsK^Q`elezni32W7C zt7GS66DNyt*|$%S^o|6HViIjSj2w=82}hMNrU!Rl0Trn5#wfqj!_@A); z&U61BJU;ycG!b#}iA2kvLE(P9;hOJtY$=6?QYi+xdkqhYKa zrn5jao?2J>E_^1%p&=ijW7WS2oGlWPkenLos?9TB#MFN^+Lw+p4!+gJxR4raE`RP` z?Zplz?vL-1Fa)gv;_~OXu4N5;MUutasL=c}6(f>venxMUu2KZ-Jqxw^XUE6Pa^VcU z=IN?DJGdQ}vAuE(_6}r#K7t(cUEjsmPl=nt?~gHyByDvwU8+OfC9adRp!pR0XdEsk zPrfU#@^%WrExDact26VpDqU1>?>L$aC+=zqIGhTwU|Pr)XqJ2|hP`e1#3C^yLDq#7 z-i{5c+{JGr!aS!a+EA_L?wermYj3WVP=|F=)DTwbIuL#zVEH|-uZ*Ix|!b-aUcC0F))wK+8(9z$C+CX}^dtei-jqb=xvr1-@C(H{=Mfa)E;wMkgTir~QAW0`Eo^4R=|HKylJTJZ@Fl?e`<8inFQ(;Jl(CuKF_Nyt?;vuSyBP2%# zw4lZ)EO$-#ZQDMP0AmTVc>G&NAr&I&5^_o%J=YklC_*=agt>g)7>4<9T}@m<{^x=(Z_DD{#V;#2D-fi z_4ZLuwaFf(G24i>KfCKc^2p(_ffKRu$Fv{oz$Rk!zY1)Kn#2pM`MvasJU323JdR!> zw5_N3(joJ*;h3DX_$S0bM|@SFv*MC_WmQynOtz7A;#5UHT;L|njp8DuSOO!F(<4NZ z?eQ@j%>#qa( zc~9~RKfi)zp_Af%9la?rPTxROo=W)6q1d;=XQ@+-smy4udl$;uO7f{}3ol~9t|PPQ(S;PyF)?!F4ac-@ zIQHSR$jpRi5XLm$7osaGEn9kFpA~W}`>371(mOh6F!mx5GrA+%WLRj?ALBCUJIOU+ByeZ(XU2852;Rmu&Mup4-vM%cnF-yCPI_MP5C4+OFf}@$uz*sjBhr@&K zAda+zDqF~ZMp=@eUgxzgI1wwRZ5q}Gp8r%+XB=vZ&vNv*O47|zJRQE?^e;7!D!3bx zjTlr}pI;nFl8~55TC9F%;FxQer~jRTxrYjb^KVWUYMp&h zOU;54(~h6kNMe7`M|b2EJB5X%wYoMA>`7US#t4c=p=S(nU@OwDv|0&%a*d@cr?j8v z%`rWo&REXIT(V&j!IPqfnCxDyRcD;oj3ZczXJ81Vbbg?xz)rGU_1>86LM_)jXANQdS?xMK%)C)%F(8SE3QYS4fUrmI@nwYA{AW73UQjhnd!ObI?z ze8(@#+P$)=I=luOHFj;+!Rp7=nm9S~9+5GpNIW6U#CH&rWOVip=^Y|LPhqb$l}(u} ztjLM9kL2+k!m^jVZ_$Y;2w^LhFQDm08H$oKABs4+#Z9x(pMnNdBQD0NyQTPfGCjvX zemLY2KEX<09=8s?QsJ|_o}!F9zPeh9Im0I-`sM5I!U~U~s0o~Ay5B7EJ`3{6pfqWn z=OyNQa@{)cybh0U6MmLdC_=9}$}JCF;7zL{)P1Sn5i&Pq#~<5rEl{CzOb16sT5O0W zd1rE;Gg>io_D`KBekC8jEKiSzQhdWWOz$c|q-=rpE`DcXM3O@%5G^|{GW+IeSkKI3 zjf5qcwhW7dVF~U9(^jly5kl|C(&hUO4j)i+o*%wzWrg6+IK?vxrYk_vK} z;haH4h%^D6fgX(HdFY%ZcRQ)k=8i@Fq0+CYqIdEXC+|7uI!^c>4Rv^2kwz86R2Dc- zOE0FVI5T3&lO$72OlPSKJElF(;u(0*E{5yG$B&|sKJhs*5~31D5NlP(Fl)%k_~@=> z;ou{oqYT(%9Nk)88JmW)x!qkniE~LA>CJMYNiU{n>z|C899%ASct4!dv%R~tm}+ec zQ6Z}HP$sE%D0!{R09O_3g_i9?JsK#^}HfJz# zjonn>tPcsjT%}{w8lr*awocQlzaQD$C!O@@Negjpiv?~CStEQ6#Orad!ysVD=>ZBC2z!{k?2 zXtSBC-k6PFDsz7{WX8=V{H3Tu;*ZsJCUYwm*Gc%*T3Je79_F^D_<-^pr#zbV4kkyGp%JQ&&2AjgkM!z0`rf3E;5MMctKnRRh!Uj$+SY*k#u(qH(n$J+f>~26xFvSvnX`Xt2~*L9%=H4128n=E1nIF?D<18o%vG3hGdMS zdgtnsdT&yi5WMN3yY==)F7UiOS)hEBz=j3F`lwKhb>8FBDeRYWWup4!wYxDFr*xrn zUoLIu?j>B58>G05?nXFu;rB&n60Im*OI29?uGRfk3y?H7=Bce}$UNtq zLpeC-E5oEjadJMk^}Un@;pmh=^IG3ZtjNvZYS}X!HN+fGps)Y4WtxAsg!AA2E#;mB zp?Z*3D_g0RyzLxI(GAZ7*Al8kv2Vs7vByQ5INK)cCbXk$y|2yP@pAACe2U+l^nUf^ zEP*CTV|d0ydzlkGr=Egp-@37qk{72sPWX=2kN#73<&hYnVuVEfNnVnzqmz z0h@{|UK&YId^~v;^l-@cO<7K1+uhOpGB497Qa-W^kh|qL(Hj*0m+S4TMxe3TRFpwP zkSVw0SAz52+yRx(*^5|0RXQ@--Z4D!CzZPFd$qH+iN=M&9*l} zO=_oIgZUq+*dJ7~8gxB!UV@PQ${66tI0k74`P?x8oMbzAM=}&{~<4wb6?RH>I`dL`w$Q_t(QpP9Vdy6dDdCiZS zsBh^?P0CO6dtTW%-Xk-9qb|5QSh%J_R^dI3B=$PKWHlppZ8sHEgxE~ocN60a^W4`f zYTsSTVXbBGo>$+2>bj!2Z(*#z4X`)%ENF((LLTn1l^_k;f3?4BC5>6!+-#zUImM z?*0o8SGm^O%f*&}oWN{5A5-ZJj2)Lrgpq-9t*MJ7B3zxoD8_QAzZ(nu0Fv zm=RU?&PQ*iwcw4y>s7ey$TMc|i93 zeA5tD7YZ)3{Nk9yR?8&Bx|kWBC}-Ym*-6M|6)-nc@}A)7gnhCPvHzgao5aG-?RNMk z42#a7vCgM#p39#Rd%nOkSP(-!$ZdZmZ9H|+MEnu;ngpRqpUQ(Ms%Pn0#zyod-KCZr zrW3bJ381A%EOs%jS|2w@KIFJSCJ~7P=I^7OeRx%(A3rYH5MP?PUNJmyu)C+8Ff$Ty zl>ev#od->+CL;Oxtrk5ziP`0^4`OZdiKCy-CcX3Jvdz6op`!pJd?1DQ7`T^ZTxksh zy+IHLj#aWt8E!f#=UAvZrCodP5#h^+{150aQBzCGy4qn5a(Z5HEVOk#RBGk#ye5aPu_SDrZChuVvhJUTz2Gj)d6!f4;R- za0ft@T>v)Bf6&IkaQ~3@_wj{j&sUv?4mCA5ZEF8II(41Rh0ASD ziUyKIZOla__vHychdbG1R?yT8uviv#``g7-JrdsV@jpN5ZsFf^>3uCb?AUlXyba)O z4+w$^fQ?P`sW1S;qy!#~mdd!Xc@1AFlWBkxyo^{F4%yQ3@rBN8@_*R&7b-tjY^B@$G+3b9ahb<%Bjl5b0u4l7eYFZs zS58HnP$ar)>ZJBWJ?VxGaVO34N{}Tbvhy|AfZ{y^y0dQdUkHF z?ZEhY)n{BIQd|VfT4W^3wgS|YWI|La-kz+;C9>aOXBWxfqDjnNy5y(+E@oJ6Hv#bBcAq|6x(p;)s`MTz0QrZjy8`==BySBvU1MQ{7-o(u**C|KX$(Av&O z*Cu`4Dwps%vuh@lsW#T)mTT|oLci)CVcLix>C<}KK zxpfAVs#Hzlf7yY#Onsjh@V{TIG;JCpNf499prD2r2?@(#d7He^t9%G>@X-#8_6?~t zZX*6~()Z)astG>i@el}9Y#2EHf(NnL$GC`=JhcP+Qo92SrGjH^zz#_pF+YU;=Vg{S zn1Nf1oshQ*Hn<2(CQyNZ-2?#(X?92+c=$`juvPevPx|ZQ{^HzUV)=dc{Wa%)q6q5e z*$<4cKb~Km3Ao&BHK>C3^IN#NRD6xu2Ie zu0Awcyi$BED&F;V&(u;ub%_Dz?5B)L!@Bq4f&Bfcj6racCq$CWTMDNc-}bIi@4ym0 zhW*Y<5dD;*zXa+p_4}9M6!n)?_JBfRvHD1*QA=aFGLoP77!;& zC%b4lJ4YTS=d2X`B>w8|iKo}8*SUjY6WXx1?VE?QQg(WUr#X1H4wBNs&~=_L`~$VF)^nqEmPh}!&q$9k*8h1M^VFp8Yx0Zv*s>7tl<6?Ui~_zL4sc%8N3Xp{;`| z?niTuca=`yGoI}ord)SCG;6pq2LBNL{S6ZG<|*YrN44i=d14UbqVzr*$meF~J9AJD zPU9^{#*)m9LoRx`Hoq0pCqL(PCOzsE05=SUHcge)`2aMAC*2miKGdb?t@JRN3KLrbU zZjCg{mAej5imMmP{X`Vy-0m>`PjfNNqFXUJq=hMckZg?i8jchGgA9^7-5dX1CjPD< z=R1hWIdL*wp}6r#JYfo%u$RUszmeeCAIJ&EcQ!Kbn+-q3%ugB(R+JD3?&X0-*yq~Q zM?&zjNw*WGHORY>=d9g;tXZ7A5(bGATBo=?use54boag zxz#Cv4^Gg1b;V*>%=*}Tm9bU&jl+G3-G0XwUh347FLc|D;fDORUm6qZC_1j_!$Vts zPD)O#cZlq&`_*C0q-%$1sNZUozrLi}MVQjf)}4Dg_ft zg|GW}Q#j-oy!zzBdFW!r^g%+ShnE$33w2dY1fN;N8L_^O>L#;VdBqXhbC^xe9^W-E zyzVE4{Dd4WYv~e9Y3RbJpcBre_xCa7^rph*d|R zW^$E@D$8!bV5ccJ*T!2074GD=6 z9WJv_48JjQb-r3q=6*SCG3Iorg_HA%vUMJ2pX-5LNBljO3h~ENCdTj!%d}6u(7Uyy z+A<&aIKD{AviTxGa(Iz{kj+STdRbao2F?O9v7bWBWNp!fQ#4QM^;MP!WcJdI>u+HL za`KR6Mx~=EGq0TK+M7s}C<{s2PL0l;&V6BNs-SRg8g(MkUB?=m`daQ}kKP&kq&N|s zGvrhx0(N&V57wwO1`SaYg>}bemPnPBw($s-yj&Rc7Q?uFtQK6o_nh_iGB*9A#Dk0P z6D?fhi)FnCOQ~uJX3{**`a0EKdP5%UqVlC>J#f9Cm^54uLO&_0(1eEW(6)O zmfONrb@35V%6JlwDvzPYidC$9n94Hkx4pw%5=!qZ3#xL?2aJRm%h7<-*-j5Gb^0b5 z@IJS>b4v2HN%RFfx?Cle`+SF)o}IsR@;r<2?74d?dgG}nwROUGLKP;#cGTwb--;9` z9__$JlQ)f^@}wPDi^$BeLUE!U7^~bz#8WSeFU&(5(CxJc&WO#E=!SNToDX`E3Xo&44h~(A>lT*)3xdm3 zc3=lpL6*U{E-uK3VPOF=&iLaM60-E-Qw4>tcd5?1gej6IRDP)6xMF<#GLPie+LUg1 ztT5J3%W!SO4lG8N)?J-?D71oz<_rujjK+Qir(LIjBd*RCt~RYoRL{%@;Rt2iD+N8aa^f;o|g_@OML(?ZAF>zezs; z5%R7GdNdC$Y!gl+Hu-`;s!?@68*-9SU#m+n16w{n-*KxN)i<@#WMbw{(6N}F+S1SB zi+J!%>Hw<*V`simhc{Rb!EmY&=gJEO`Q}b0rjM55T+cM$$W&dK?wKqBvZ7D>O<)XK zxaa1bNFvj?F2AkkI;Tt)ZG3m|fE0fCs^HZ;0Tr+eAPg$wL99x5IN7As^}P%?ZRZf| z3dQZ`GwRnbHc?fdHR{7HU~9HZ#n9LzhU1q1{1LhAAmQqn zICtRW#QHYHk&Q(w=1dQG3@LMhGKc>9``}XMq*nE%zZE;+l_c}}q&sm%tIWE; z*+O6ZZMx+@iwBBoBKAo>1tl6({qX>n8`^^tz)W*zqF$e^J6y0n52njVf-GMWjnJCn z+`lkmIC0;F<7LuMZvSsfl&1U5{{EipUB)@-+(*??>xR0Vt1_id!(42oG}5F2m4X1L zXIgr8U{_dK36Jyh9NlNnu-eE5JCLx&w)MedcfH10H-IB$wAZdvpEswoB$2 z3*ER<`8JQGFR-K6T-mc+&3@&YAD9$DBIel{NWLa)z)N~bZ}!^cGbP`lGgORj{W)ouZMP`X z(KGV^kuP~iGCf6^uC2HuU-Cpf9d32outm)`Y@dxQ6a{A(d^?i>oIgM>Zc(W1`htpo z%R%M@YuSg&ji*mTuL^k#uFfd$2R&8k9T-#l0?r+fdy-ts-65HyPN@*>+~x8rHR{#q zXg{udvgBVtk*x2?qy1l$6ZHmHiGJk6buH&FO14MpGPsB3lQy0`J$4RcY#RoKPUB|HG88RN-=GEPBPvHfH@DZ)Cew9a z()sG@$)B2b(O!fFUm9~K(fNfY=E$WT7(31tcj4p)yj=~>Sf zSUb=DQ*Fb6`Hlw{{)~3&*IWN+ox?)23QNKBdiH-%TRgpyb5=2;lw)ux?i1(J*HN%H#!w za(s1SMa84lbP4?7?g#BFG#K2yD5z$EYGj22bKtEwdRz1q*Ol~iM;Tq6ZQfxbY#1XI zi0E-H?7$Qn`5}tJr-;d8@I^LThzI$LpUr2jw;dIV^zKe1iN0uC?JZ<~?fSjhK>dCF25oBflL2*p5$L3s=*{A3b5u_| zWVZ44ADd=K4sMJgCeP!;4MKZ8 zAK+B&q=bF6m zbmf~ia_lC}w<|T)!e-i6l_1ac>$w&@NI%6jA;h15W`Zr9p8iAl+a=Ap5*Diai*YU0p1PN=5;XynnC%gMghW(dw z`mVLqX!00q5`GQ62cwH=?`WQzUTA>U;bzBb{ssO>lo^gA+(`d-8_)1zK8R929v(Q< zXF++O+pOIr0Qos&Ik9STIWQ$aP<5`F@o( z_@WSCQ-C14qY?sm^+ieK_kzlT&&_HE7iy2N(Q!O*m1xT z168;vjLin*3aWV!AaFgLCh40dmxBrMs6#HJr1$-lyzezlaekm(up1|$E?|F$$)@F2 zk)(E~>fYbtFUhV>;?^`zOwU(vud#f^G#?`Tnh}eU*#fo=h1}1}>&=>|{wiDGJx#JTq(CXaWx+hp)K|SMR`xLJ&*SKODUDV7X#7&u_~JFp&l;jXo; zEo?UMc18`cu0i`AcMwqh+XKhf$rl*0L_4s8U)~@mgiO8hM)CP-mj<1eyCo&f+PLL} zd8}+)J1_wU;fu1#ZOT(%0C)&&NFmP43D*aFqJv++Xy9)%(ItR(gWaT%gw6}i743QS zBI73OawlZ60f*#!pby2+Ja7d(&EcDCj9;}4`-cvc?*^e-KOUf~6` zTFbHn>lB?EjtDF*5TfejeGlJJl@s)s0fPWhK{}v_Ub;7dQZV6T$YhI-6@w4wH1AwD zwlYwle-eQocU75B&N2yC=mzSaLERa88L_0XSL3HizF_4L{v2kpZV;KAQvI^NTQ?8m z!YgEsum)aXlP-J#i^OU&_Bf+gI#e(~oddDaUDb*RGTC4@G*Q`7josh(zQ=&&fa-Fu zkqC#6$@;AKEnwHeAW-`TWJgUh*O`e=tc2?*1;4x$1JX5FRj%Y)Q^}g>&q0uT9Cl!L zYW%!RHctZrDEqYE5wS8yH4c=eCd1E5X&JhOZTZ8cKnqNC^8(S@OcmdA?d>Zvuo_Ht zuD;h$iX~<#>(g7@A=7l8W_6c556o-8qw6H6a1jyTx z09W24Fh+@(UAqAu0pAn{wndQYzV~LD`ifX0oWHY`;e-1KSktuwTd!8y*9x`or8gQ+ z{&V3qWejGV4Z*}A zSH22-neqw16k5bSkwpFS09`vK3rhqYvj1<0b1GL_qnzS93`=7+O7qN}Vl#sTsT&mb zhbrhvj61vwcVLWwV4Vqt(6g&CmanGybF~m=t!?2n#lC{)+L0!K|d?V`_u?#W* zxYAz61?nODcEx|t=PmNV?xVQuW~HR*$g?Mg-GwxX&KT->dAqwrz4-;=G|FxFX(xOi{!L1^tU$pq1_=sgtwHwK0O2eL6ha;&PzbYJ zS@VEvn?jyo0&20IbodU_XX*{NB71jWTgu*H=hsJ=) zYhaC^{Ab#J4^pS3|30=V|Kd$?UOjKEZ&UL*Z7hj{=h?KAj-W&0WC_o#Cox;Ua`vfF zT%3(h;SXh~%#zAlGTjQxxmw7X3eWMDka zxS{RlJj4T!ycgFGeEaFPmE@5x`E$~9-LFf7oJQS$t0(FG65+@4LX6r(LEN)qK|eew z<3ZBZMeJii5gzpmFLh&cqD%sawC)E`pWe7b$W4@zLh-tkGP_5bPJ!Sm8I$IR)W?rt z)l%2qwa(3RvlZU03geJFFW)Rlib|wWd3U-kxRL%7StEY>-wIloPkTaxKKguz%Tq5%7K(w6w0TP=0<|@jkV{ z`S-qBYDElcg-QW^L9Q#-#fCTm0MY;ytJ1*I1@lVH&5w?nm$1mJs6% zjm`)+fxSaP?H%K;V^s)@zXpk@d1&3dx89o7U#MU{J6=uvrL!5!Td6Lh4WbE6@!x>0 zInE2L+07_#U%N5i97E(*;CWT8EB)6HD=SpMnZqhE{JfNw(dL`m0@k|mAN@{OUpN8X zQW^zj9Q=ZZ%I@N-J=bjlstqL`h;f4dqmP^GDiY@0s6Lm%;!JkvH!i-Am9$ZMpW?u_ zJv>n}&!sib=X&aUn8mm4)+J=u4I$y*fe~sAU~PgB zTT~F?>9LG1#GnfHS-~E0+Q-94=!Dq7)=(m1Ftz9V`kce`3)sb0_@XAT2&yJGc3>fh zLA7IgeUT8s4y@*{0f9Y!{**%cBC9i8lRR-pZyu(UArYzIwCBfUSvD;j0c-C!G&=|v zSOS4(0e<4gYrxpj1XesPYCjiRl`ZBjF+|ste6~SzA1nRaIXu*W;$V(jNkQhBd9!!({ zseUw(GL%8Kl^~bvCfJ9Y{jx{T1ne0m2-I>dTUS21e2pVX5;Q$e@? zQ5m|o>enXfSJ=$Z*)=kc^}PzMz?<~MpbA|ZQlcU8J3FwYvCX(>hy8HjCM$XImlsZU|}$+f*k z14vH(>6|xN0JsC-C*G)DKW7()w#fcK6nOs}(VG9>5nX->FZM7{DwO}(h(P9OnHPIR z>i?(lXbQgCb_!pmQa#8^;K$_sYA{@c2G^O-{^ulbv9#8$0IHijN$cuoE?`O`Ms+%F zOUG{_a7-5hBrwA8DRqpNTo@x3Te$@X>&p$;vaYa)_~bVEFV9IIGQmh@n%S5V*X4qfGNF5C#3kX?tCu#+VRiNV+nYZ(Qz)WWRmi#W_tU&qA>mNgCx954#ps-)Nfo_ceWK;5Yi4#Avs}W zGswq}w46>YN2cmdcPO|d7)rTWvE5g(J|99$M07MB>~fnXw);g11)e8-4R&AHT!t=1 zh{E<0lk3%zFxLO(F%Vm5KyFJp8?fyXdFIuU82KzPv*f6IV{p zHpwz)Q;aiq<$^U6z;iedx0{k{FTbdFxSW6bEN{}|ME!ddk{eP1} z@gZH6Mizolc5)?mgQ-%m-gAme_f<+w4Cl>~T$Q%rI3mFyES?Gk*TLw3icMl*Oe1?r zfaMZe^BHPHV%7&XDX4a{!RvQ{90L{jJ{i54+B|>)`7Z|@aC;JH#Z_C7t(+7|M+_c8 zENx4qyP>fl)%2U2^8|>%{@40>(oJrWG=1~oTT^|LD~ClzOjl5MZ=G=EfP0yA^y3&I z&!}n$mIU%c$@zqVw6ty+V(Mk@6yigL$UiZ0YMdE4A>@GWNw}zt6wCy(r?^vP6F*5y zyu-N^XOO4b15z$+*ulC=i<-bm!@U-Nf{IO5!`t>%o>*iF^&)S~6jSG3=P(h&4 zvxkX^xjt9R%Aa&_J8O}O`<;r&zHy)$ACBHgpHK^Z*a`UmQn^%pq8)_#O%2CJjS;3z zIE*d!2yk~nQHT&?p$9RjVTjd~`@3=waL=58JXpcTNsYF)3m%M%%F!D0bDUr#h!#=SC$E?R}GwE$TT8za8EPuk>D@pIfqICo$U5@K!2S1C);%Ua-A zFEcg!#7O>uT69h0Udj76^huBv1JFk>#JJhq020Dy7W+fGq{;n)b!a(Y%#CLQEyMxx z&6Dfd0QeV)pxgi0kzT>mZj0?mG=s<1wO>6pX_m_@spW^ZbFRZKNlm*5**^zvbG`9$=ySeOr6o)CaB8uHo)gK#`-SgIyTi#H@`_IPg(H)6|4mA9Kt2r57Vt5g? zDs6~aQeG&~+ZVZRc^>Jf7h4cx^$eXo+QYK+^geEu{*r0+xOr-^D=Lm>dg2T6IDGWC zO*B-Yjo4PUO3XC-)gj(8l-_EkexWwzPC6m0{RMIauCIN$&kBlb5_oQdf_`&w>CH?* z_(>I#L?m1A>70_1Gq(c`1^rXrEvDBQZJcpW6RUJBd)9e%T6C-<2pF1o(zm7c3?x#U zL`**mg^Zt9WndN|a_$qHrU9>N4qt`8=CZIgtlEK%i%kzC4cRV>7Z{QoPK8PfKVKMa z9WP#ttI1Y4<1aW^d(W@6Vao?UDl4tRNHT13MQ`aC%7x%uHh161blCgn#mzOQCif`( zjteg;-&47mrB}Dj_}M=z7CRk1(79&2R#;=$IT$(RKx|ObW-Wd`cTq{gfUy|jS23_o6Sf1B=b4G${eYq-@)76+Bhf9!t)sOA&?}xve5c>5Vif>M zNnj!VvNggovI@P$qs_QJ1E7@qLAx7K_2I0M&C1kheT4)~og=09(r#^W^u|iK&(!b0 z@~I$qz%lgs-5uoWUJKJmX!m0Wj9MGG9GX$oGLyw=yxv?&3x$!=lD=P{Hp8v;APD<$ zH|e(bX2wdf7>lS`T2Rly0QjL<)$&;fzIIa{Vq=^UY>l_~Mkb-p13pTX(&Y}I{pdEA zG)5IM(GAC}kb)dj8l{?nB|o(zj(dJgvsaB+r|;3!t3%uNa8czYZEKL(dH!OI!i6O= z3yaU-JB7}1TG*zvMK9h!V=aSbU%NqG(F<5A-raO`Sw4IsXaK08>Y3}1IT(~2zl`HR zm*@tLSa@I)>>Mj7x2_E0f|naNpxy0r161cyKwilMB!KbOk`V%kMdp`r#kTu8MPb-) zg0O=r_(noNyQ<`M5SEI8Be1aTHh2_#ldPfHfUejhpMX~YqAv|R+m>50HBE78H1yhy zBTTJpDMJcx7UxEH<3z10YU!k>pD>N8ufNUT8rc920b_@e6{y-G`>bjp{L9F2VOfYT zxqx0z#xKR(S+&u0ZF%mX4(T!~O^Cwfx193<=PcT@B5eWbBg`Th>l4CyVv?Zp|JRC;xByt&Xp3XyHfyinQv~~wJoi)rqQW3MpU{AnUZ2U*WO~ zNB#U96g!n;V$1|Bs*Z8zm%1p8mB(n&GZ< zVumnBm<+i4K_2%WmX~;}H#m*VsBJNqhVZ>IvN5xIx%$V!@TRbvCP{&$fVwHJotTISJ=%fxN708cg#x>IDEuy&v>_^7n>&ubb~ zp3V>WdhF%2I^`fm1ET%lsn(QabzNtxb<=hE;t0>@rdw~A9v!}OO$UFVJ5w;$v}j~w zYn!O!P2Y=exL8TiT$wbZ{vk|isHc`GM4CA97Q{?5di7y`#(tV=`q{Zg${cMjTJF3vvb#H`#BV-66Ue(MCnzlc zSYzd!b2tq<%?0wdu+5hJq_vk#xR~Zpon;7@enS0BMn6gno!{3xZk`$atNQ&#=Vkp} z&GG)n*!wc$FnUxk2Q0uZ3BnyX)$z+4>f21BL3S02uE+}95mo)S*TD-$6(<}LA4|iq6 z^OWApTRrDgbJK1O4nD0`^?i9!>ZY3f^EQ8q@wsW^W_`q>zZK&uj}_y_5SUoL@FD6B z_~eZa_yVvumne{b!)24TRL0Nct02Pqr|nO==`pS>xexs(tzFH2EtN%drFK~H`su|} z3AO6gMTtkpy_R=sc*`J#-zjnSo2$jGV8D3!;V+rRHm9Ut&0QQRQ~G6DWaa~(k0irqZypwm#&GH0Ha9EGH@~m!lWe_|O-E-U zFJP(l`Wk}5%7f+Y5|t14s=hu|Vfj197b*{~*IhXw`SF>dWxyM6A{PH!_)+N02VvJ+ z)|99eb6f6|mbG6&yUteAu?ZZt?>Wr%nU6~1;)I`Qk{5SAt%MLY&%EQ5Kq0A_L|W{F zx8C9&OS6N_IkPwUZ?-kW6i|!c&;grDx(L9 z<2~v{tqXaRBku}Y5P8PSnTnatd|S6(5Clbvy%k+etsN2TyEG-#%@9J-2*oBQCa^dQ zdsAl&-@QdemTd~)s_gm2wCxGcjJ5jpQc5i!$N8>sdl5<nap0U8>HW*R0FhMui^~ zr<`emt!Cg<-WO;u%XVyZ7T@o|Pr8^F0q^fY4q8utGRoy4Wsfq3lRCa*$#Z;H!q(1B z#?NWb?fGnFd86N9)95533X{38sXtGp5;upyjj;RuPyKHpKZI6Sbn^t*I>yko&IyQQsvGrMEXvS%epyaXpcy$GWJ#a- zF^=P*WSbL-%7@F=HJ}<>l>CDrg41UCOKY5bSam{PU@CfOVu12e4S%_rm zb6MvVKN8LN^}VjSP~|gj%KmDkfXmHd9b1V97DMs0&TaV$A#EG3A5c}y!+6{YNa|TR z2@C)HAR3b8B|>~PRl%Ju$pmZUu$2xm?9@x7p2dh7Q)Lh)9CE%yoAT?nFG=kGn1K#% zdI1T7Wmfj@c3_2uI6SMWw6Bm*ufEJu9Hj92<7Mv8U&vow@hQRI){b!Zu7?mTAj;1S ze7F37i^_aqcCK5Jvuh5gR{M@{h?O{Y^v_Zj^k_5yUwBpsEUIM3EdiU79<}!-12^9^ zj~IPW-XV!_;GfJOecOd-8bxk=LSXL)?Y(x(#UQtc@`I4Q4clK@5hEW#Og9UdkIhS} z>$JRE*f3}_2%K=+)|^q6|9bRZLrYl$(;0OArFS8WBK?S>psF~#7vV2%&YP4>!LisO!%GOioh0XUB=L=;l>uc zDk!HGH;GSV)7|U32bmt%6CoONcxx+O(EAds3337tRLe}pDIbuw^B@6?z;7wg_G@CaQZP(jFuBe zV?}&1jn<8}(MZ$lae0y-O@PY~iWndRimH46oyUgR27HYQlfFq(N7~;WhyLV0xfQmK{b9W8cO#Ov6p?LfACc9$A{;y zJIvbJgS`}gFpkkA4AYDl0Bk!Y@d`s&1M;i&>>D7;gRX@H+#^&LfRG`=u;$=FD(<~J zP2cV;QB>?=4xf1NRqCY4r2ua=!D|x@^!Fzcr1pwrhrr0$3U;bOQ-_wQfQyy=Q#}4n ze@+_r}CK|o4M=|&I`q&o*iKtQ^?ySo`h1tbL|q@^3_4y8e)JEglDX8az!=RHR~ z-gEA~-}k=%_{85hPtCLUUbWX=Yp=C>3;5p>4q9(9rq3=(Q|IpsSf2Ra&|`(IY$$z$ zqMX5Gre4>xNbyG-(=aO_Y2Qz-0Zp}m)Z$HfO1Z<0mLz7w2f-=BF- zCj$Boz&qiBSnKC74Ko#b z??5!t4?BUrs{`VE9M!*o{$QoXtZ>WXOorlUw@wMH@CL>=i%;wm7C5qGY+es&->|fl zk#K>8<5hkeL<_LI6qIRK0!2FLhCKRy3aydFo3@2f8;d{0R%#S)uD!INzqq8mY{@_C zGr+|}SIHZHLI+>_Jo5A^VbU8QDAgXaYEZfki?8WcDXW1nMxzWoNHyw2ZCWTQXCAWx zg7{@G=D{$2bq5)C>#UO1%a6?6@|EL0fG~dhEra)edouhR3LCEw^9C>&fAb>oZ;!tc z#j}a_-ffe=dSCyJ3&asHSY1(1tR=7kmu($#bixetvlJ>?*DP|jtWDn^HcebaHF^Bx zqYU50@E?+m-f1}{QX5g!VKC4eSONWP)-vB*85;p?n6rOiPUsKm2h1*LuYL%1&ijKn zh|9|ABY1UOL!M)cDIt#;Qz?D&dOvF8p`!47Xs~qr@Q^jKT$Cvq@;3Z0iN0LtD~T6Pw?bB3q=n5dndo!Q7KIPr}TwC*JDG$X3S@d8jVm#K*sdn)v?rxy0{PNv=|1mjU_h1 zUP>Nl40u9kUjccvvj3FQ`)6ss|GTe!6HM{;o8v-$@J8-6BD&PCz`OQa)~|o{8~w+G zPZ~fn2wyKjAWi5BfTNs^+pk?X^Y*-A7JUQhGL3)?lb|0zp5PyB26WJv#>K34@G%nL zT@n`&rA!w6)5hbt>g4b9kq#mrc#iXQY= zl?nf+?$Mh78{!BWHvn7hootJ5PVz!~&)1*${f9&fulr*#dIONzLMf~!h4Mco(9w~`#ao|u zE|9>mC|Pyfq5?<(Lum>U`^ zh(DlU7BjbUGIjtii6}iZHncSY?mjX$H#KvjVB=<^U{-WCaB{Z;E=pMIn*v`-Ur*Fz z4J?ceohX=9&5fMQC|KE9xG0z(8{3!yCtO^-oIDglLa4uW@5|ViIS`hln1mPz4h{~a z2mAwl`HXcc>Sk^N0!d4Q=s_S5G6(^VA9M{k!URqv{mnrLz%d+ftdWp-^$j8efv^VF zNkB-zwHv^>5byz!tONfcUmgAW`vrkt5cmawUl8~OfnN~#zYzk``VOZ04#rLtlKPg; zjsOG@U%`LXC~yGHA^R1=@5gl!;a`v8IXOX~h=@Oq|IjXw6RsfmOS|~Lk&b?i`41%V#u7GbblIK4xYcM<#v1a%0S7Xlu>vrf!T)n(?}LIMs(CVUDH#eRDNI1{}6TVGsVU71|jnQR?QnOS*x zd6`+*nAzAEfhQOp-EExo-56~gseXIGLt{rn2Xi|ob6XpVs~74U*g882-gb62H{vtV zH{moeGU8-3&}TPdWMwtdXVf=hXJs^EXE);JWaVKqVKun@Tk}SSzqfAZ>|ph^Z6iZw zV=H58z+&YHK!cU}HuFC^{eP-W07Snx`cv=&V+5Yz69EisPR0*`kI)s88CiH4*;thR z3-L^x96SQdKRhSEdG4ganKX`3$f6ZtL)m<`s?Y|M#$MWiIr+V*QVC z0+;`B05kwl9|{VJ0Q0wP{}~uxuK>gc{O)&B1%65KKk)afk$(%wzrghiT>lmX{;h|9 zQP(eU{aXxkEpk&uv4vC#k> z0~Hq&>jpLfE)gLCE&)C<87(C-=^avhg4;~D@6g?4xW_<5!OYG~&qhnnK!5cTI3#3b zR1{PkG&CG~5&{zXfBWxC69^j_4hxY89_}{i8a5m}Hr$sM5INwOLH0$qcHhrfmZkBEo>_%Y$Uf%_l?Y{Z+CtoN_qlGjJNZI8qDH2f_x z)q~>qxC;Hd)a(Whz9^`8_ymMRcW7wo?$UE`a&hzU@`*eY6%&6XA*raOtfH!>u3>0o zY+`C=ZsF+U?BeR??(yvT3qSvWmw^$HucM-4V&BB2rln_OW@YE(mXwy2S5#J2*L-Mh zX>Duo=V3t{I#e$FZKOKoZVX7T{6 z`y4Fh5$!m0D27t2z8y%?O<BV0*=6Q{ z=8XY*4*AubbIH>wwY`MQj`0`aJfUlt0+Jcay!VL^I<)X8s`6@c7aISZ%4}qe&NIK zrxZ;}nij!zBee3BZ@L3A|0(BT0nlW8STl0~&B>O(bnLY4fxv+`9(3B(W;Wqskmgi< zq5EZ$WeDX`wAj7vlXs=8f)aPmI1e-aqnPG*nl+wrV*()6mFgFLa#pkPIjjY3 z!!PONarC@N+uEIm7h`jJ4!WF}m;V{We;7IWZcd#&5QZ*$8UGBZ!dH~Bn%d;m57fqs zZzn%Q0Jh>(flq-H+~4B*A012k4mm*Oxo=S25R)1NcOd?K4}pC>--6tmSriX``3Iu- zvEg%_z8?gz=V#*lR~UlfGb;1=vH_i?znxd*@L04BFrWzm_mD^=ietb~%d_9DJ>!tHM(=8pBt?C7+X@mXg zXrd-dL|83ey{wp@Mh3I{)Xt$Tc4w|-AGa})xS1*s}dV{YcK z#QQcqg}V^(Tr|476>Nv{i6Os`{5>~bsYMLAh1JXgi|O`e({v>?|LC}lf7D*9idQn7 zRDyqYm#_F$$lY9XjoAQYMjgv|ZyI;2r)((*`mR`P+*tgt*o2Ss%2c(Y2E|FV<4nPC zH!|n-&D~j|(w9-*A-!pHNzgMT33etI(MEJPDR;JNps122sePb@07NSHY8@;sK=Tb} z1@i{)HS|N1ZI8Da&G%zIO%D19S$Udrwm&bpd!QIyS1+?^LaHdAUADaX_&1nkWHtif zzTS{SN+oBY3s@V5t#MT;;epz(TS0YueQfIzXu0m7KhwafllZ$k(+X>?fT|$)%fK3(DTE_ zqqpPoT3l&`mPE>-jYhVuJ*~czb@-V$HxLSZ356%apH03cQJ88B&>Je(q~0SbI3~VR z7bsTd?-<#*=*7hPdJ}G?K*U9rzTMazJf5GKa3i*_LeTE6;Xol7r#UBYGYi7=hp3np z_c{GaW-NrriSlFaTW>qPYy0?+rG#ypxv@wjSivoNgjBbUjI94i^uTF6r7Cf2>@xDv z(`$aDyM&?O4>_61cew|aPmTp<4{-o0Z7>AZxn{8Tc$jaD?#V~UrL{1I@6QpsV;ZyvKAF&< zEJk3=^K3}TB*K4H;MAVa394Y}P0YeUkOQjJ$~q419;SQu{?#ug{n zigQ`Vr~0zr<7&U3eo#k zH|N=381OPAH3gq^%qP@O&ZdaZSWHWke>cqj@9?out~$iLDL|g0rX^}i3Vj=4$l_gs zJg-2-PpI~+mijv31GC1lB#J@ubrBwww(M@(Ax!@GHSGZ7D_Z z>?=lk3r~k4$2>=QybPU~xORZN28Ei$;3OcbW1(EJ#o?@hHiB>}-9#;wF~K?vEfNR} ztG;AN9lqqmduwTpnAUC!`gKLT?|&H#Pq{S4LrRRXo+rZ$WW5 zZo*AJga|K6pyHmYCE|O*gM>qZLf>jAQu8yZhKRi)m8Cd#0u;O^w)=v;C!$WcJ(`ip zQ)8=Xz1=(8w@Lf+$nsN?yQ1UC&w=SR zf>TZd>%JpYhF&N2^gbuzX@;%JUJp)FUqZ%I37R)uFNyun#O@nNtSN{TZK(25v(yh& zR6-^VW!z_w>-u6pNd{+b3oitk>)JB6%`P+RfSS2AAAtbTC{@ z2`|?@4thN0>-xO(uQ7H@a7-8opNF&<8WCrL>+Di6^_vLW>=;BehMlAK5Infv+&MVo zV&Lz!M6c~+z@taGi7VI0B-1~1oY4=~1)4|^B&`RFl%`f~@b_X_@GXVBIGS8jD2!vW zG4P{H_DV7tV0-@#nQ-o8LtFGUz8nf{-2J%ZfW6HtB}s$qWxJNg5yuwPYmx zVUPl15tiSG>_O3)fvo6x=yqQgdT`>tgr)((-Y6|`?lDjT^Hk?BvkREMg5zkWU|w>V z>gcu?W2yzs{F?RR(;3)u*93hY+#Q(})5i^!&o0YLER?qgKI8Vh8$-&WT8u4Urw z=lc=fP%u1I13d!AroXES-I1-V@`aR%-jiJ8VqLx_bj)v3T*m;!;S+rUL0=dF$u@2# zmRoio=`NEpL!J3h86tQkaBXYxo(72U0+p zHp8P3#(Op|n!B$t-{{H}WAE5$YQ0l*1a(2G4~+<%zcX@VUe;HvOK>M%*AtGd$vKq@h#&2;`!_ixO$y8^?8-7wnLWq!q^x(PknaT`(+4 zttPI8YRU~c7i~i2IZmCTm(g6{XIz@*VF|ZoQn=yH1YsT%*p>x_g(2Vq`B$|C+_eVbK_M>F= z((XqYi8JAOt9Uz^($@JsP8-gU6)GUDlfkB4YpnO+0o`O%TDBl=uT*N?r-_jr(P1Y7 zP02WP6R8+{)fo@InanmO8G>SRr^_y`U3zIIcH;^^v@z4MNc<=@;BLpHw2SB+o@LXRcr{j9BdV}Uf5 zykj}}>+cn_WH^RXar0!#sVScdm}&d{YyzbQ7O zr(06tjk4tJ=SFRayIQv8KM~?TQJnDrC*FSDX|!dih#&`=RPSSG$=#xIGO9yX`%J>R z(&h=}bR`v)9a1sFD@+4RMg8M6{o?{-GNb6@=3{7hK31}@_ah+qcUz$B3_Nl3OkaW^}s{>oR&&%ixrH`6?(Rlg8 z?#~oRWQbVjau7^vOsb#@<^Y!AismFSH9lM{h<%@&U{8h*^EUbivCdg`^ilKQjXy3ZH zJtZ7I_I=hYX|A3hCauc8U0&l$~` z29BqOG_{rWJH;~)=g}SVk24nbQTJ<2qYS@xg7?aTPa4bP6D`PmffK$~qm%NI65*vy z%UHWGcbA>u3p07q55UZ04tSh|H;OLSnE~I>7B6^}6i_L>fi*|#fV?moG>aArU)Z<< zl(wk>mjNnYit{M+2p{l^0JW%@j}wv)F|L&GR6q$|Cyswvq!}TWA z_6T8IMjSYu;}4T^p&WHVJ!%;euWYC=vgq9e1J0Eh#hdwr3Y?GQFIcHF9`N4_^%W(B ze?h@>Y&BAV1DEWWI^KP+68l5(w1nlcJO%AFfkmb%AQg&w5~RYsL|u0+vYVuzAX9Bo zIv@G{`x(rAPC*~(iUi@hMd5oN$+k4rO(_M_Iu_@Qk*7Ew$ZaeR4ErY@G52D z9j;JJ`dS?4g7(VAKwP-JP7asW!u=$)GGyONL+h~J-%eLnokU3Ad>(omC0RW`NTT@^fJ`k zEcFR*BhIOdwygEilj}?PmST^tiEfPs2Pf6vFLWUeyG0E#lJXWemCg?Va$#I!NPsjI z>x76pi`-lwQ;FnV@mGjpb7ALT;E$IAF>9U_bP8W3rzp~JlGoagjI8AjR#JWySQVml zEQh(IoPXHODAa`}CFT5xv2iG;+iIhOF)~S3=*S{4{Ee#+@qo>UanhiWb5pg8y0&Hy zD!!ZEeZ?n82=ca{3uq>0cnr#|!>5AEX9YrM>=xV&S|X3ARWfiGxvnLgONaCf#c<LwP%;5{V20ylNH(CXRl(Gf;#_U z%)(R-8-hLS6MR{qS36zsta}v7MEdy?OwK$} zkg0{o_4s@#oXX?Pfe*+MhHvJbk+P?rlXyC18}C+xbB2`1Yj#B+cNhlp6lMe?3f`Y+ zwmV-2dWpQXHD6v4Iy1o;Nbvgh?O;SX7EnGE|8cx@jH3Ar7rtweT|5epLN1E~68J8# z?Ax$gGw{nvHJ|8e;bhRi`=avU=W#OjS<9RoV0h*D4{_{c8EF%>q9hFttkthOih^x! z+RR|^Y-r-hT{j{l87L^AsPG%b)|~RrWf`c&W~OzgUQCizFdOpX&$Jl5X8iz#hX29q zi-&>1a2+?E`c}@PoA(P7YWVwcc<|;g39!kbG`X!iR$6q>3tRzd4@wGD|}|8*T9k zW788&e}pGgNc{GL01Ku*VNf?8sj*8?7hOVo8Rsr!;L7hTkR?kHnt14`$tRZgxX3fo+{N&1r1qt0&l!6dj`$5mCf&Urjlf1-3W z5?8Bo3Y?rJS-Tx;pNVns*KIdeN!;)uazG;1CBD0POmV8T@DGqrR+<3PX-CRX#22E1b(}ng7V+kUJD?m!dg6X{%e_*V2$P!g?@JpyPG~ z!DJ~UgR%jXWOPK_ug6(-4i5HrHcB@tm(P{sw}b?xM61eP-U-CR!dJgj_I zmUSfCuKaPgz%H04&)m(h3gLr?+bNi@pLDr*=qJVI=gp#iw04SROe?GN{Q!S!Vut^o z0|{pG6L$hZagX3r1sE7*Onto9);33-zs$k+u?5LHV-nNt$}G9NKnAtZWi;K5^FvM~ zpvv^88B0&gJpUS3HHw!wbx%*vXr9082hWg%p=3Y%Wl zI+XB9A;k2iJR1W~_}peczSPR2)WaBA7YyOc9n+|9NVG*?C>Yvn$)o=GjE#kWCf{8i zFbLBD8Rnl#Z=>lCDSBmp0j<2gB95*wn7jBDaR~DT+D4II?uWrnasGH*7$Y@)3Air+ z+JSC13iGQfGhccF*{igA_X|bZu*Jb)WsfpNBX~IyMYTzc zSlGsWk+r_7AX73BiH;AL~oZGiS6!&G$)UL(V8(leIlGC@Ya> z+S_|=AMHT!)JaIV#abuLy=Sy!!0z66q?NG1&>A8UURlzabrm0yVr@K_w9xwcGO6Vc}T<+ORDI>uk6shfHc-<2lJ}X8_8#s)<8k6joAkN4R$jyh86P`RVDXb zZ!ACc;jmZBgsit;fZbd=s1g?5l2gVTBa=+EmPNodI};qA;AT;ob1)>HTr&5*)IDT_ zEWQAY1;lAE{FRoXb3*X`10bDU$mq3^uH5vg7Wf;X#Ni1Zo^m5yA%4{XB=G$;*x?gl zrp#+8)w7Tfm#0wx(rICjG$DJyzE&SENdTLGYl)h%@pRe_Vh9B`8y^SM^-S9PZ6tUo zs*J0v1;qx)M>gBBhsk>Qe9|3$;n)D|WIfwsfceQ@z5>czEjahPF)uKOIQM9HqHDIO z#?OqHGtNv*^j{OO7f5C?BepveZfe&lUzi`4#K&i~M!04-E#qAuV(n(ANrvBOEygd+ zF6SEZS+>GE4Vh4~0l_LE%7M36hc|7!Yj#&oYwgEwI+5>|`Y?3k<`=tVPAhCk#V80{ z&2@w_zJCuLouT1sTI*PJ+1HFsjrg1?R#7P-;S>mF$-R+ueKP?gA;NnjqjJxBxFQiF zF8bW4gfTc8TdOP5JqM@xUK#?kssV~t@VUM0cz5{8nTyM%75*@3bl#r$d}i2NwRP1b z=1Ov#kUM_UPK!+PrOz5SVd`rg7>~C37SMjchS1FIXjwF{{}$!oR>9I;>ivf{XpapM zd_{^y1tsWnW!Kagtn%|kKR%@E{9HxYo>ebf8a3U>!M_@M@%@|neHhVy0CbwgUqSn{nlBxw&{)?U~yc<=82tv^p&OH`eHQ-Qujz(2NA6g~HdmfkZ87gtecz>tBsQgVden{FI zt+ceu;DTThTmv480~wC#+1}hOC`&`YA(VffytPMieT1M+)yj84hA+TT|JG&zKV?_? zjnc+pywv{%WO`Z!%lU{l?m0XAh`|4=>icZ~T1v0VF} zlb8YSemix{jNoOo*HB+j7@xB-So^7~xRWFr&wxb+1-gm8OeTi?$naTU^N_!DVM8jyE?4+t|59tmm}oz|XFHnzpAX-k0yp(2GAARw)+IY*XWaPd5&f ziiF%dTtuRFCADvxJgr!tl<}9A@@7-VYm-7QG?u6v3e=C5_r=dEMko~X=E)72ziYHx zdg?PkDl{>p5Ftw~Hdofh@`#!rM{y`3HyswGe7F0}J}hx|O<(i`2FNR4Kz=j|-GTH0 z2KWfPS)kn5sc!Pk##O-M*@ub zq2lHel!O0A4*Qcdwth|F=!iG)2nl`2aB}LW(zvL-=w;}8)fS^-9*~+Q;O%|2k+;X( zib-xphBL?%(;6v})9ET$ereJ*+WoTswsp`&{-S%8fvlYUAZ^>t>C{@~&~;$b8zMs$ zR?{)hQNgrg>p4)V%?o@zD9^HF_P(wCoj%K#%i&{D@_vl39=xEoZ(BafTygJp_YZqJ8gZ^I;Gnpu;e1xN!g0j51ZS+} z547W0E+SEn2!o70=qpLi7rN^NeI{s1Vn+5gWjb-P`y~q%9-57-%dprf2h2TN>oJ#_ zhm=|1xt5xrONnMp6eQrS+k|6XH&FptZJhDbP662DOu69H1+ojq*45qUhN1M$csZU% zntO8AoaXYj*r@h|$v$CNs6xlmKYikzOa23<{Hh^Bjrm#|_$PStmepunt#)jnIip*H zBoPwZ61nJBxw+CGg;_d2R|68ZTr!Kn^6YIzq3i5lqaRI^o{MOi!VXhxRZQH%b!?A8 z{5YVp(1B&ju*lZpA5$QZ=KlLBaO9MEel(=K8~y%{*L}w6LxpD2g4gV8BaB?oivV`v z$qxDy`rymq`JnHmzNMK)Vnbk$-MLF-&Z814@jI(k(C!=IPl8hpSKs^N(AyTRqBr=f z+wV=zTh|Z0>n^HeCXO;&d{+Tj!1Z9O4h%Nnmw=TotE?oB8Amg_dXRQVY=eirgf?7_ zeA;_wIsqe*7k7!fmnqF%=&h$aPNeqZeF>To;)KMH_LV~;(8?gw;=Yu3Y8;*FR;DGP z>q@a*uev^|iy`aex7@5=JWkK)4xbsVglrTvh*&$CS00^{7XAm*;Rr(9d=UOwT z3$@G$u;9FU#Iz%(3)6!}!!$N6x<~=^;&kf6fB87h>7{_g z0Y4&PamBg}cqP&OK$AW<(h=(h8;^~c{o0n)BC?UH#g2HfAnZtVoQwE1w#rl^)PvQj(xImxuixX)sPcL&)0t)b$W2%CUAs-DBzU99Ha@ zuREprvT*U9>~s9)8tGczU>zMH2H(#p&|iY8=+|;DfF7P4y`m(B*^$> z>EJ7p@G0kZT=V&jcxDU{J<357)=90gR%iOhMxli6#srj(F@V;3FiN8AUMEU_s$K_1 z;PcL?Yr9JuL1ov&Dj!A7&USZ&IyVQVtbDijqCs0VdCj&(-uv^Wy{<}m2Jb^2oA#pVBiz2zpw<|^rTpVTk&JQg!bM%}`rOAv z%hp*KlR3fj-opIO@OOH7@u)rF7A>_m+F-Um!_7-Rt=_A{R zI#KJWNi;`n9@;b~c-nFcGrs41oXu0vAgLjvFv~Vu6$eT^H$ALun@69YVOrQ{p2N&! zj{CNqcY=Xk!5m<03qHlu6v+{8HqAGPFW#XS2d+SMy;OpAZ}~9vW{zuR1zNXHHnbguPpE3)|m=9U}AwRLQ|R9U8eMFR>)p^{?|O|{&!pq%#*eHHU_i^hc-`) zj^A2GMFms`ydV_dhUYmv$@r;CThNH)WwqB(Fh32J9;p*?85xbtXgt4Do^F%Bi9=F$ zkA*$KFmB)QdsZ$xL>G2*)G8g0WL9k zrrz#O%Pfgbd+zjl5>2(ICI3)qD33w$(@zhBwaQ+-a>*A*zOMEP4)^26EFp}>%;)xy zQ`VkvRKAT-CDT1dzpY?Z@H#XvwsOyMY<8xj#wB=Uv{cl|idxgpk5};ywN}IBWzTy9 zjCtgCcz8K?TW9{fyo-*-nk175Q_2QY>S(a*NZNR}Y`gN(`Rw_`q^b)Hz~ED;?fTXp z_k3Llar1n>zGGN@AtMSW{6NDf@_{Q-|L&o@X0ayNtbkUCI}XRflJQfwGcA zqAa$DQ}mhFi9yZj!qSwr)BbAll7Rt7IhMjJ@LHL6O+zX3ESYJRY3Hz*70`tW8s`#` z{${z!`1-BABVL~{E&9;PkuVRUWhct%HOM1*{W)G{v>$!f)}EK+pE)f+P$E>ixHnc<`%fev9wk|w{AtAzHyMk;^kHQ7{&Si3$ z=(5dZFt^siz*|LN7M)b(@BMy}B>p|U6e4~nV}GzDkbTk}yze;TMY>noc3v6e1m)J) zL!Z>tk%B^JMFq?Wlpmo`jq8#pzV&unZRZ5E>-0h zexg}12l^x=|HK`4seyb5$=^9oTL1RDdQWrsX428bcaPGsW+@flzuyQm)EMz$3X`oG zrqRCjz-R;qh04$k!922BK3Z;$l~7M@g&}3{zXNGuB zTRgN_gQy7JQQ3-Xq6kmM_Af#$^{*7J@H96sMVsPYL;Gwo^ebsUz;G8Z4noJeeDsx&UR}S>^L|_6s4$KhZ(38mGb^3QsED+@C&861ea1?zOFa=- z5TUUmAsh^a-pzG5wstSoY;5ZH4lQn z!IQ;(d8OnGy`b-wF`Po5R3Xd0=TtvhUV*d&-x+l0q`y}}iN=+c;$xrahc`O_=35Iv zU^V3XC+6Qs{RbE00bG3gm5U>O<6J;pQ0wR01ZP5*w??yQqv9&bI zd*1tZydImek$YN+7l^nYpWtqL;JT$2N8SbwBwCtoEvS5%rcPBJJx6%8aI(;@dFVco zj_JVXT*$5ncrwb$6f@P;%{7!GJ~Cy7cA5%VJ+Z#grsCLS0Mf#c#Z_%Sk6hJwHmlKT z$$pQSSe&g|T>OkslYoc5#qR!cf_a1KsYNX$`KXWiAJSs&{9jP)t{*HUx5P`07mT*b zf1+||u=4PN(J3Ii7vzMMjqdRQaZlfwrT)an z-&t_^HzAGtKK=M_YHe7i9m6F5iJ78uZYLy(d$>+BYprH9z-D4%-wBxY9#)iv3@7?N zi+SBqB5gWx3Mr+_PAB-y_l?laV4RxOkPZKW(+hiAKPD~N(ucMP_rJD zd9Xyx!w>6SN=kUL7`jl%GYJ7?f_dLsj%}vY?XgaM+>bfjap8~Ix>z0@xllxP^k(78 z*NNUs!h>K=h-6J_b}2|K22A0_wxIz09Ox0Dtdu-Zn8E8Pq&rv(z4urbvSIr#th)Z% zt^o)H-9eGR_vg@zKS1JUwWfYzH!UVu-->RPJ!TpEv`LWoEg@<4S5HAwv-e(1TUwKc zNwMKPe@kujv6NIeXL*`ft30s-9Ejm$uhS;VvmJu#<@}bfGiAf|ra8>>L(R)%+4@ii z5QPB&((ADm%bWIxMJ$mz>H^G|evnd*CzRD}1s&Wv_OG{8Xqii2r5M@>vbbj-33^^A zN@&x!bxRK9x3n!k%74Dn^Xe|~n+#KdyH+6K#XT9NC084mjw6@oyNB=&yUOP^DOZ3<~`dE zhz%M9c{*q-9VE78HB!Fw)4f%UD!;s%#CQIh`7wWBcZ=^$)`0)&{9U0(@3RIjw^@}v zm*mV19m-lu%g%vW=*@`%ZWR|)3=KR2!cVL{Hg2}_;1+aAQiJ1-7JPg;H65V9V4bdB znK^0q+#BP7>?YY7xOGv(KGX`!@o0=6pe>Hjt(An8tc1 z2cVd7UE|S5-~}y1;KdxXJ^uFOlL0+F8{W>Qqx=h7 ze=^e2nhWKmH(B@Xdv^>57gQJZ_IVd?X=`&%8-^r)!o5#@j!GJxFAgU6J?u~?qAPgS zru0@*lvpxHxm`eC0=|z#k#d(N#5PAL4Y43WwKwrZ!pK*pSF37qgx24OLEZAaE!mpH zh2eU(wzWW_1WmPeu9|xvBAFU}7CF1#9LX(}OTmTidIN8qnbqQPO-m`DLa0K%dE^G?m46A|K+hg#f5 zkJ<~r{7QwE{*V@F0@3|_xK+*Yz@A*4seMUD1rpWw_p}vg=hmaj$me&c}#0Ba-16%KW8qbeH<_1RMvI4hs*0(J!1tnC- z%cmvm3`MMOy&@7b3@+yB_4u^A(`w0#Wy$BAZ$Fk#l*TvV&KDu=P^>PZuakbHZNbb7qF)FYj5peG|>Owuh?^IzvVbF7+Cgn&u4qW6v13-Z&2yj#VyvvsI z!i3;|+8z@7?g31q{rMNrCK9j_AvLYguX@Knd0$3=7I-1QEfkm3iNnurXNx|67I_Ob zhte4t4T}$xu?@n;uyl(?Pi^l+t->b-^1|Ep0tiy+Bmy5D*y`TyCkmN%89ZVSF1pLq zdnhs^y+*V^jBh&{0V%lIxy)l_h?;i>0%x!VX3=!h9DD%{HuP7*G;Al6z2;*htR(OW zXKH;Co3YvBXu<9@f!N{e3fn)MF7$?DZ~C zUZit5>K1e|p@ZwaedK$Y@=|Y}9DIWjOq#$!&ZEf38UPw)5Q&*nIPCGjv%WckCF=D+XtDkr^o?+>>~(wco@u zIl~m@<#cm1ZMl6t>;g&gESAfslUC2We!T&3Vv+AdMl*V00Uo{iTI-Gu?=x%}b`G>d z-T6Y(f{8R3hcC_w+urZ?20TV1KgP(~FSde4$jo_q%E1Wt(9$iT&vF0=zyzsRBD@7R z$O3g6V8$nq_ZS*qKpz`c0D2|9d1~6i#$&rl`WV3N!hlQ@rmThg?oNR8P$c97IOp=> z^nyCU1_9zaQ%slTani_k(KzSN2wBzz9J7ld&w-ZZsda&01F+g#qa}H^wj~T)dx(D3 zn*)}s-ZWi!jtJs3dS7;L0|r|Xz!3PxyBF{=b7A^Q>~1|d_>mXbgvEA|4D8Xd_yYO> z?0%^9PJ>}XWuYd}Mx)44o{O@MI`(;jsmf!FOaRS5=j_C@>J9rPk9K^1fC0+qWUSOj zjbnUoW@@isz>73E@f5fgKm^_-m0i{wzo1ThdVG@aao6YKEN1!(2;q;$t-!!2)`$RM zu@2BN5R5LtyO=ENaR&f`k=^VVU+jlmVD3v#d_tBq943l62`+2cIi>8@J&TzF@@G)l z!mwwtptW1%yJ72)4eqTA>ZI!S>GaCohK7=e39@vg=!JL*aE*5!UM2uIt=Xd{2qz^Kwq_~u8?T; z6^R0oYda4c2={<3Qvf*dtrL~!}zU}F;1UPOK@LOohSD;hLuRP z&556Quf6;UNQ+mqJg(^O+wtrDtKE*-DX{qgZPK5;A)EGLn}Sle#i)T`Q_DlH*z6@$1LcM51o$)g&==5% z?c}k9pkr9=FR0}C+=t}a4ne@dNRLpb1x@aGXw+7h#!y* zzxWx;zpa>Vnd(CJpNa7GJ0bByK#>z%H9-NlI}~~U*n?pE+7=mcKQdeHUs2gh6W>` zgRdk)YJ>|#_;5)aAdd>jOVLB@bT!IPQ}~+A0RZ8yDK{Ro?D`l7pP=hkrM4WxBch+R zcOoeer-}+66%<~unU-y4lNw~KtfLzX?`rpXEs*R)y1_YL3o!l`-gI)02oN0NEo4nb z)L467W^Hz#p5J=&HH^N_pDR^weoUl=U|9oII1eNmSCyNK1swPF)*H;U3m!32s|=N; z711wY_K9VKY0a6`Yx#6Q9fpqA$3T%~75)5wUt zfJne)4*A~fMLPX|LF7L|hjUYEgi$Irm<_Wu)=V7IIaT*`f8iBgj^0hj`qgBBdMoS( z+89XgqBg!GDLE)INCMtqqY&Vrb<#Tgwl7J)0@(!|{UVxQ<^+KHU_Tj9fpto5cB~7j zYDEM7cQ4plU@Sbl3ycLPnwIwDz8KQ%B&AyX@&}stk4XC0q4?DwE`rU=Imv};{>&jS8+F+d4<_B_se?_a=SPH@i104ag5MpreC74jBT_PTuN=*-NTpo z--syqjY+-{hz{@;0IODV%)3xodyoZyK?fkr5MpWuFppsdWJ$%oKLRpUAV2gn zpgGW)Wcj)JS&D3mh?9|ol5ttq0LI-WL}uKw4`jw&Gdy|{mOKq|(XKhbK^*W$2&nlqCV_YlV+$= zvkfW$t8J$u*kx-Ysa?`WY?^)v%1w=O5mPDW=C zNpBP+#xoMlzXbxL`J$T~mL!sr7z=f_8_xGY0mfFy3C%QB!kPipyjaOCG0Etb$(PDzUO)W9y!4m|Gs zoULuGeqVn}Bq!&t51%a1+xtA#4J53^Zsa;!G_(vW^ayT^V>)FQZ_9yDK_r&iILqhOy{b)^*5~magr*yk&$BBb37@yhbtg%DgP7TsC_cxh+@ZTn&awU zhY?ra@7dzzDLZz*>eao&>7nxdGhgouHF4a}-zK?}HOy#@#OkiClH9|Y_l2z66m7&R zb&}ua-Ia1o(BY~ndYtoQ54u2G)~B;gT(@pG(I_qN4$r9I5R+eF1WP6V=;eLhTaU_i zpTF%B%=F|a9RD?m);be=xgm}ADBNqID%R7)VH5eHnE8`tqXex@f@Ox7V@9NN{y(l}H>?0CQ^8j|jQ0}3*p;b+f);56cjHH7X7oS%*Fq6D_=oAo(Z9Tr z5irwDx~N>Ts^ynUBPZZJj-!S=ZXTsD1k8p!c5;A>4K#YR%N&DkEN31HX-Kg2&eogzxAk z{^V(Ixf~+u^YH`3$}td0mL3u@oWygI#*qsM)=0`P3G-ZOF4%nH-9PR&&_!J2$qwhe z_-$^V7ZBN~{wOo;>FMc!=Wjkbh;RIye*2+s@ztyc_cn4~e&Hy2E5^Uht-fp&W($jT z76|dzQyk7~AYE1?&;}7<1#pK|4%kr^RNt%&hrRjdnS?3{c;Lwv#lT-uz?BbsU@&8Y zP{f;&p$mw^d2@c{I(R@EU*NMceYuS7olqrwL&4&56ra@>5SMkKs;pNKt`vkxTn;LNu!S-0ta&*~9r{||Wf&K~4c4Vr3Y zai%$`xi!=K*wE${<1O(CZzMk5o{Zdu?=q?itE<1DlVH|zeY#2|O2#_Y0ltt_7facB zHRYEEH-^N>HW=CEFrkFUbilHn6l9u;9yT;*I{EJv_&$cnr=Rzdwo;euE`Vzbx-lA!i>Xq2}uWnw}*c@p`0+p z;idGb(9IW+yYfcWdJ?> zh!%vyn09ZFRl_+~C!}`Wi3ojN|B|BnKx=1#laq1yO57;J=sCpFKTjTd2i-Os`~i*tXq<+3*i%3sFlPib@<4 zNJ%7d$Q3F>Tchy6mz^+#<-`AAO*#t*s&*^HSn{`CBon|Tdti(eL-_rkOO!{a6qEX} zqZ800!xh?yTB2iSuv5b%K-eQQsen!G77z!38RdmsURGdxTR}80az1m9V)UG9uHK67 z629PcFDMDIOTW1lqWeW*XAq13{quK373I`gcQZKBlzp9=H0(JOuXrevW)7N=Mg74H zrDbduH-}a4Z)_eVZ|uClibc+?iUmh1zcJqO@}*^%Jnf2Lgq6OvGhvU3!wq@?;0xz@ zkE$&*inU7nw}#66nF-~n=Jw(Pb*0(P{x+-jzh_~U8)r*LQp>o1ysWCcXsu(dbV!Rr zdzBpkw4;BY?y)Y0^G@*G0^%v@U*10oLj9C2Bb&j|LFQ}`F~7MIcEb{b095U-2}pDd z;qjPgGJ5;yGyQ9oT}VA@NUyGOWZ4{QRk(g^=6O7CfrYMV*5`G%K9{9esy_@rj&h71 zct>(A_O=hH{?z0&IsGSqpSB3&kFx$##HXHPWyDVmiCDdg%Apvnwcn~Qy{7Ko3kYQ% z?hKm|-pfRk9Y?c4;*hVwnVjewvQ_klS0&d$+Xpoc$!?CvMki@?nW>d9*5}M*4jO0v z0K9&R=k*wISl4ZwJveCsxE(<3j`F{0f-1W(vjq^*2~F`iYK6?L5aC{^ls|9Z|D<)n zB;sV_$itGgicf)sy%RIvuSSK~X>HepybE?eyvikCGoYol_Bo<=O_+6;6%7gu| z>>Cv|b@iL`kWV-c6fI6j)fHY_S@M(yG%{jKFfMJ58dK2JEvcpM5$c4tZw& zLz6J;$F>|5mHr;G@26VX-?DWdI}=j~-}cl)frfZ~ybtwmTg5f%l@EW!Tcw_t|H`bx zepoeer3G~IwXDzeyK0XQY}{?2827^O)}F8S<(da*_;2#THm);x1{LMHSwEFZmm5y* z_n;+^qrfWR0OO(y z4#6`Q9ghhax}`NykPN%R&|6`K{x%(>Ve!|o%4jei~ z+l`{}p6M7O0mAcx<2J3gnV^uG9GpmVGzQ*IiOE*&+56$T^&9Bh*xG5f?=z0m+sQ#& z5Eh*!9I6-hBqpoo=76Qj`7w2aAMN6ycCt~hKKMVhDQsDsx2sOdm0ctoiTt@TOMDcc zEhxQH?_ndOkHP&!>BIJd_lkoBFh2fMoVnuE=3j+Yq`TJo;htPbBedSvPtDtDiloQ2 z%yATUYW)I&%Hdk+N=E+yNdL2*(AMBw0f$3Q(*288Xs%($#TLTp>lS-VEyuTRg?gAT z*sb8Tn>zV4x+sgvt=Y24Jm+LjTd33_n?lzs9AoPW-iePIOCPkW>We{ z9CNOcI%v0(Dx{}*^!WEUozZ|kw!^$HM<9p5qF#8@ydovB`1@}+GCw_XRs*Qv{Z8ER z<$1R-^Azl(kW!tJXS^y$-ame!Rr_i{;iTh1bu}Gh%bPUbwyqi-gKZbuNA{WWX%G2b z3LFc1;D2K?)2Je25$<@O{FD&?=0WXd&%48ysvgDliOh=3iro*UPhmn^IIQx1Qa;K0 zew(Vwt1Q(y-8?=}X*34tr*qJp*G*Ts@#j7Y95=m%t|CkwQ}6#${JyxUNEk;7)ow{D zsw^$*ov-7s8z72zgkCDu8UuF@X_{(JczoNOC|&VO0w|Zrj4yVE( zx6B(`_Y5@Ibk_-6d;33OFz)-Zp%rbW!4IOg&c#IB2aM0$MZj&mUGDScfngO+Qg(8z;l z=+F6ZUN(M9w}Jwwj!Sbn@EwqSw8{unTIGT(5?$IL!+ma-Sf90Dx0Y>48{M{9NuPy4 zyT;~2AS<~?)|S03X{N<@l;}BzA8MQ5lh_e=&Z?cwV^q;armB?1dnQMhJ(p*PG?V}B zV%31+%W)GZ@5F1ku~b(B@R|WN;6%OwJhPK}*=JJ*;^T4Ven=G=m0)w0rTU!j8TUD>F9gq9Q?9+1a zn@!h~tw+m8WUhcB8b2FS9WIZ{P8^+|p?Pq(zsn^zElDy#y>xA0MIUpxf2>6@(jnl$ zHOU8T)4bP_#KSlys7e$1dKg8N`CY#Yh}5`QLo|N#;E_qm39m8KpAtE~%^lT+O2|+B z8;>J(JukU*Gkk@9zAQCTs7^MZq*UtGve^=z_X~&>TMRB3hkP5I--ITv^8}aaBMD+j zjsUQqkhgpQM~CWqeq9xQ?}VG@7VEPPo4WyUqVpp(vnFE!QLWY}eZi>ihTJe6OZk|q z487pzS?vxrS*0tgYx-ziLr4KJQ02W!65ehs3B)NZH?-w#Z;=my?A>g;j#S7NUBHZS z zInDX6JhA)V>D7c@(GhmTLt#beV+aO{2EPoX;218=^@T7LBZbA!sld< zQ0gfsbo>6!4G&chi)%YFNEsO98IGN?c4czE;HHS7qA?`}86sy`4Ci+_K*V(*tLLE_ z)i>=64U#w*0n$f`TCgbMdRgZg?Qme)Frj90|1 zIX4TtJ z&Lnuo)SQy_xG45l8~w!w>NBcdK#Va$uz(!(w{)~K&~B(nI$I)mfSJMZy^gxfMQPr| z>^G7px4mGWOc=n06*iV3$In`>=~Bt4#dIL2K1LE~lSo^{Q1EK7ibC#4v9pRyH!a7K zYZG^!l&zE@hnv~yy;`WA;-dO?h+uUAn%m4jxP6E`F68q-#^z5*x7dI#K@LQn-kZU) z2N*J`_K14N)P`e$7WjHtDw&N;=pa0U!x4RT$FoYpi63KF6^^`JGZ{m*{qb8dJ8rz9 zwN?tP*=V|5&W=0P=F!tzdfUXdc4><)N#OknMHRK6cI?*(6VBsVC#UqId)o_s!c@qm zmgprWH^Als1tOBJG6(`ecNBia0cxZrS>hsSV%of6V)UGv-KaZq>{PvYQVzV4^G8f5 zHxrA>VItvG$0C=sh|J=sn>9y30u%@JjFaPK$C~@EDn9f*5WeN%5uMqZFu5wP=%r3# zFTK6G6M5LRP<1{Eo^(+gstaw+XtWE0j-J2N72*Q>`9oAADfSIetg8Zu(BEv>w@ob>jJ`9eMrg_ps$(!+%@ba9SVU^qo8;q@(r+$tMY*{@%8Wm zf@q9h@jZDa8SK&+4Sb2M4G$hVDv14&zUPq}62VR#=>yWb8MT1e1rAuKzBU)-0M13? zJu}^*xh)SzDYOQ)>aV7f6l%HQ`aU0{VH^ft!!Ay#G~_55BF#M?80^wp(Lla|$c%eQ zt@tjP;DRNt0`Wu_=U(v4=cF53GGJuN<8Pb~;CgWzNA9aBY**YpClX3<)+~l8(L~MN zayVP}aw#!>(vcW=MQ>L_kMW2AN-;H_jn)%*6gp3O=IA_RtfmjGP+Th&nNCI&dH7V- ze=6C#{Izk^N{#y4ul>K7FK_{x+ptTcO5SjLf7E@1L4kr~-c>b9y~$%-bmorRsdldP z;eG82T3Xue7Zo@W=Eb`bma&{`LQ%O;wHIk9bjGYw)>2v$ zZ1wbf(qq94iD$c@I76A4$fj8FESuLjKG^iFaR5OmSoK~x`04{#O41{;s2#Y)w-iJ+sJGb_I8K48{*QJ*EpJ0>bKJ@{OC)SIn7fi&A*NT9E3Qo=PuyaWcY_`KtEtV5`)9t0 z+OP>w-(Ts7`Tt#4gdr-l{B=lNdilFI@p^HwKAY~i_B}p6Lo<;OjPojNEDeFVY4;LC z6QetOSfQ(GD~$C{?yL2gud$n_NUIql8C&v_@McYXg+I5^wOkfWdZF*g{1=P`w&oxV z2s-@-Uc=4ak68RMyDbZdFTjow(ZQ@x{C(Ihn*7789re2OXAhgKmjAE`H_kh-3USNr z{ruVJjY@6Uav=wem}uo@LSn4}A#!jd)osLx6?YXq+Z1DMjOCcqf`nhBhZHhh z)4#RzRF%L9nvDRh_p?tAH>kA1#*Z{|gP))!oRs?#O; z>5aRA#q6BSEGKqNC%9dZ6f3M%&AhAPU7nrnrE6;%c&cPLOmlrp%Ujev=LuJCY;cuc zdFSRV-uIjKS?qeT=0!^nc!Ooo*OtoEit)p~m(M=Ps}$FCSTp;a&gx1*wSHw1#(HB7 zMh5SE9KEeyj4^a;xcTN2nT)qxJ<-Fohoko*1+U>RZc|uywZ$(uHvXLDXn1jfS4xB%B2Pm_X~c+Qt-6rJbMC?=eX4YKJzJXC(PHS$RB zb(8KV`Y7jSKb?13xnq2fXYD0kiO1isN+!fH9$qzU&{p{|p3riAcvQm3`RW$v+VP8* zH0Rgwt&=kJ5PLa;GQkuE)#wdx?vgmHvF1gyS>gJ1!d{V4-HnyRyG^Q@(v=RXw9Qu8 zP&1z}f2!0(j-Xu&c9c_k-d)%}A1xA^IFN0YUYi@Q+eY)@yg~-k2XWoo#9dwks18No zsMC;54}zI~@|;eLN)TUcelkQt2@2M08 zs9gY^Y|?`IE~3aWmKH9m$@;rF(-CoZ>A*}ITqVHa*3}LaVO0y%7c&_C+NGnH=!XWP z{ADZfC}rdfXkD8I*pw+RRW>$&@)ic~?{-K=KlK_fc3YkOhLgS2{>$CEtNx3xVG0FGry{4S)-}#|gNr?2^IvT8NNnZB28$}~mC|!~ z2suFMYE+Zas-SzefC#4l@}vI9lR3JZ+h%zLkrt>@7aFYs$09LZQ0)n68Rd)?_+}}H z$a;c`q_Qn`_(6WsJd&uj2P|~69w!kh2G_ZRQ1kl+rIPw5@@<_p;$E|jI zkI2m(N;8Ql*tHX+^@7yi&h(IIVNMx&_m#L9*b=e2+knEVGk z`)`P`Q4%mDN@KxYnpW&QbHaRXjD*ha(7IYB&SxAIqxU_IDsaxl?`yWp8HEmoe7ozc<}lGF*rQl3Sfqb-)xFW*?G+2o1z3Q#^qU^ ze=&qDcQ*dXaQ_V!-(A%RvV6?yz`gVlL(-OdgJle;&dW;+Is4YvCkZHQKZD|FZV017H>V7Esm%7=U`|REjeF zu#Sk-`7dc`mg9KCR~ub2lLdthU$Ou6VX_dMB$Ye^DbymV%deHu~g((HQVlH1!U9sMISWYLsOAgP<{bH zJD*fHUjfa{`jPU9aXN(I=@?*fqi>*EQ6*7O9!~Ex2(pwg`d#n01V}FaE;yyDD|A(h2%FzNe}>6enIowgo4W|EA}ynA*Ml{(7#Xsu|-D$Yy0-h8DO zjqBR-ux>_5sCIgTgTmfBgS&AdGZ#xb^h$TFZ4Z0p9FEK1N~lmA z`Ff9eM7-W&Z9DV0dsM=}eEI9}7Y0oyjhu{hpS>us+Ar5~u<2>w0W|lfuaEHwhFe_a zavOvb5AjumHDrGXl(h+ zgG7!rll{fr3DDv2_btEBtlKzPe#rtuHzE{2%rh(&n^T*nDoQw7r#Ezc_D*D(-&I_? zg4(?cbjO7vYe+#vc#c6yk z%IZCBM^IlLy|2vU5mX;ztu?p-BO4CXhAE>6V%@KetTTM~Drj%1*zm;mvLNH030kGx zcOYej?4K%uIT=QklQwIi4aR0L@uxhFjV4CE)#N-N9dp?|JzOg-myZ`IpH_R>=U(pf zLu*e=IK?2$#~7?lAO~3MWn$TIvOsP?K|~PwyXIvw)4eoSQ%c5WL);>wv#Q-EHp=i7 z!u`aUFSs^T0OG*T92P?KMC9V*tIGa@U%M|%fpGduX^bmRiU-YYmfai)PE#4d3z-Nm1Kh&M6zmL_Hlia&eU(9H)qn)J$f| z&h4(#*+hS%U50i`)~csI7{B%hE=q}fiPcg)M=^(M`jh&Mfu+y{tV5Ilu&HCQb6%(v z7T6u=daMRCzLPXkIRbT|tdXcJvdugr4ONywvjcYUe)NzBaPFQ0=dKP%lwq4SpG8g3 z{jKMwg(+OEbkY;tws6nvms)j`BVb7&SB$v^aK}RpT4;YTFAyrz% zTp&p0BJEE8AJ6$OZd-uua*?BWDgO&6WXhncH1n}8T*%fKECo+6XkZ1aNtMK3;^Znv zF1ay;Q|??OVIQ`)2Su0`T|jKt7Pqw>2R=M%62|$-~_;l=F&n?$$$P;cskiCQmvn^PA00{%uwQpArYS z9yoHxCAQw;+Ij_14uIyD_yW`vE3n(fj;fBwOq&Ld>g8tL+4#`@yu$t+%*^W^FlY<~ zp(aQ9Nn_T*Vf5UarskcF_jSa?T~*mMW3U~9>65kr_Hfn!p|WCBA$m^n9SBjJYy+W? zHNZjN9X_Lk!Gmgeb3$&uy|5dIHRRD+PRm1;>9e~@dY%*fSxi7xagWoHXdF?*E#S)A ziaEt0O#!JeH=xnGa!q_tZ@VGkYxS=k(A393`w2cP=MWY0Y4@DhYTDl)ZJ<-qNB7eDu8EI_m;g3HH%gnN$a?@9tFeX-R^Iylcf0`V5ZuE$jxqQb#k%BOI`t}lVfCc z;>b=2IEy_>X5o9o?7g#s++J1iXFEI|4U?3Cl2xPRo7mqNla$~J_mE>FKUS44ZJ1Wz zA4&dOPiooCjeEn{s0lrfbbZBx^-RM8UE;`T_1VpEQ93oVhmNN9SIzb}fv00k*^&RLQB&sPQs5UDzYR za|d$d8vUt!{+}w9%GeNC0%S|RMiT>9=y>g#2kA0|GdH#tku-BZE+CSJwOE?^;9gwS zg&HZyp6t$3p&~W6U|doO7(88b(VwVIx!fken|J?>=gjo6b1(+Z7M+Z-*6vm0cjVdp zbgV+2R2PjU1Tax>Mx1lc%|(qIKnC2O>h6CNa07Ifo%%$rn)~UFfckvVfckf#Xm)Ah>syEO_=(9|R++>D7xn?wHUd1R+rH4FgOQulM7DiI z^EVBb*_Q5D<;RoBjF>XgbT0;wD>VU(x%F8c_q=ud#qz=~?HrrZvpuV0GxDx!B{=*g zfHJHHLrXQbT25ObKj(EBu7IS-6`&Wh3~dx(^;;iE?Qo*^hzv-=TY-#$$eq?bhl(48 z_n*Fqp2|9g4{A?=msb$~ zCJykS9qCijTYovicXUI!eL&>Pml?tJ0cB%n*gd`--*dnC{g#a{Db<_y)ySb9ypeTO z%Jk5geJTO6oh%0fOB<7asuQ*I7HwY9zo`>{+NT<&p4FzTgrt|+FVnU5e9`PSC@v`F zHmSs$QmJc+Pf8-m=%e`Z&v1%CYH`}g^gqD{^rE(+`5TXwyrB#fd88ZHcoiKH6(APh zCd5MT=w>D3_^Gs`W|CPX0R#PNAOD}Jm}k?!i)NTpS^!BZa~Hx4hZ#BwDxAK^9$m$} zfOuez6&Ay3!aX({V>O&cuo{DhewJcjd4H+?@ws46VgTn+^*z5}W&I&; zu8601qxA}Eh=vh^Iykdh&M+sNmIH)6rCUO74*9>31E;ddiGi!0crdTMh}N5gwz8Cq zU#Ly1+zi=0+H*MG(LBC?c#k&MwxNkv4%#MIi)QA+js0E*(&7D@I=*u#xiFmWSg%i{ zp{}(E3=ug*YxUk)+xr_$o{?>u+^2n>@jYv{X4vkGTqW$Z|UPv|jd0wDFdS^4p{5 z!nl*iKNY59^O^FLg90P%S}uC`^rCp0O59NBF@{QOJ*QNo#E{_&tQSV~6L)ioTKbS8 z%ilhFd5~H0G(v8YraYOcd*G1Bup`MJP`1`i#n$Gqk;nNx#FATV?hzS03LGzM4)UgjY~qS|X(Y4r?5f}X{#u=%>%GIg z;1Z2*Dg5yW)ECRsH9y}u^B^xsbxy}jXycm9mapek?e>(S0cYN;dr0_}r`6CY|L$Z= z5k2>Qa0@r?$WEGLh_hXIf4%c-cx2$$&j`3sq0L3&HhhLmREfkvxtTuBH zrMB{T6+%+RlI&6QELuh8B*zn0tp`0#tED9$hYP)GKg3#t->z`IzGCOcD^hkEXGxLy zxT(!#m(L7b3)vNujs+25G@Q$eojRrMcD43Z)VhQ1d3MAKMNJd2tA4h_kRUj#*BNGG z19*G^;PG9M$N(;YSd7h*R!^gd%s@N~dAPl>CTydlAfvRtgPaeh{+HTFK6Jt6f|PH! z3#>SJ9si`Tdv(X?{JH^X?kF1EdeO|7-Axnh)F1prN`Jb}1nvjNN(|l_dSt(c?ETEI ziodsOlGm^u+=P)z+B&*`SRI59mX%~1Rn2qZ5$`*gdCyEcaGXPfP;hF)_CtMeZaf?1 znY|W9OFZ@YrrDA3o7)m~h`{!#R@{P8Wga`HN6gGGebA{Q(To&&Z8tavaI67zUP z`Q>$VVL=AD-|vF77M!TFfppTw)3EZ{I?6BgrDh~YW6Eb&X&8W{jj091bTu|qn(~X@ z9I|wpNqsj($E!4?|EP4e4lNAO_YBsCF=#8Ap&{Jn#?`}_^s*A(Q4ch^!~0AwW1i2l zauMV_v3*RjfEh|quz(uyHuu*Dk=$CLzHJR|*JOi(Z8CEP2=mXYUcP$%vVAn(!0?jQ z3g(Pl$#rIGT0^2=X6KqZ9+U$ew@Q z9R5%Z^{2dhoNM1TK&a((wd=6sAoOF8DoGZm!*lC#l5S5UNvvikSlK#A)$`SFAqV#~e~oQ9p0jd; zvgH4&)jyz8>ru3JzVZ2-u~PYFy_Agcn}e1K1{=>B`$~UrAtl%_dTDIBCz379VRSnlbIGG!bcfzI5g*YkV~&zonoVzy4Qlkk zf_08O(1x^Ry~sh2ujg%KKi;^SLyHmJ6H{S7r=@$l)fU-?Ok^QX>pbcXuE74fXMuOz zAY-O>!@Q4oiIM_?=g5BcQeMHeh9TltZWLEaNWW}WqA57mQ6+4kK2uV2NavhOOB3Jz zqZtx!s|5C$?(yA*SifrE5U)*7(%gBZMqVo_8>J^oH-c_>i`zj|s*yO90GV5DOD*kA zc*Znyb_{9?cCc-8>TJ(SwAaramwF4OzN)*JjF$D9*=`o|Qc6Rch&!&RI-wycJY6-rq?_MSKqz+9NDJOZ^#eX0F7Izivq6}BWk&+5l%<>V>S(W zL|v79hqAldduLrhYB!2SDW)jTx{GwGaY!tn90aQchbATT#~-yQFc;bSw|;BBtJe}Y zIa2NKe}G3mnUo2{P0|zu;wL~B6x9Ic)iRMyZl5IsP~EbgGi{^9X+NNTia~yq8dWPT za`iN`*}XHGAV+LBvc(xY*`P`Q#j`-FksMV!ZMU6zl0mI^n>1ie3#ze{q*AZ@A`bYC z01wcR(~*WyAE03Xy#{47G4qHxMTw*~!)udF;PO#SI@Q=KXRKi{V|Wcoey$2`0SFhC z0)bb$&&8oKMxovW40u^8%$?d7Q9YE`#a4ouh?FMS&cZjrnjla%>{|>~8*=rZ6v7i1 zZm8rD-Ea;LKgda1K=^@7uvp^;yWPhh1gZucMM0dix8T`aGiu``h6~qWaFg%jB25^v z6r-c5dP<5rL|2JxQ*ib;wao zL#j>Gq6pe+sP(uH5S|45o+y!2q>PvH3L#UE^zU$w)rW+3iC>sa36G)1;wA-nT83(= zTH1{yd#Di{4^+`gYu4S+$1LXghGk4l z6Cr-Y;L{KQac)-LUd%pU3w1f$gpn>xk)vn@8g`2y;s77USVTU1jk_kKz`I>E6iFn= z;IU+xTmA^%i4nShP}mZ(+$M7QBWOZfdwX2VAknrk>m)57s zb+}gN|J+x>wZ<)Xt5x!~V6{wx`kBn&5oIkrCP-6-+J4TU28j$lVW|uk$>Ux4h#Jiudpai0M11ODIw1A-TfyM`VEFuG= zXQ46V+`b{scGMglNEvp(Q9UA6nFv*OqhY%Jz^|?^tCssdg!C8Hcc3NwWk5M2b;bd8 zIk{q{lBn`+ij_EU$Dtu{*zB#f_YL-6*86>~57a(;j?d}k%jvxiqvvdv(%-bxC^A$v zHSQ`;Oc5l9drrryY!ofcCP=pB{E0@jAq%nCza>2_)}kPI^~^N?7|rYi8#rBEDe*R_ z&Uw1|K5RvJoRvg8P`(q3%J*kVH8#zKKa1}7s{KD#Pt>H+OiXCstZ655CddfRQ~3Zj z&nCoCq{l@>nvW!9IseaK#qZQ2FVOcV7bRQR)-j|YJK%JR(fQj1JPkA($4>pfeqEcXnkgj1SijUYscX8%9&BrdzWBi(7z^s^&!uxo^clw{>irS#)KM*h;9 z0@T$~W_4O~y#JgdG@F4@;JHYWpDqp5>gCU(`KRC>ryqK0oG(i4@Kc?=?(j(*X`m!_ zIe;F^HGy?>D=oVKtb&L}Dy!vp){ZjSEOP(n=EF}|f@=Ly-n#EBdJgJJX?`XVG3{#z z?b87izR8P7=$>O=&So-!m82pMRN&H*LNiD3zVcs)%@LE4K%ZJ*0R(=F+sy^8!77c& zdL81~Zzl$7hk8#!FgJSXQ+NcZDCo;ffdf<+A_sn4{m1PdJCiupG?r_vcaS z^g3!#iGLRHSP#<%Tv6z&=Nrs*GMtc-YpEalJ@V{X8ivkDYMk7fU=sVdMOUCSq;$_O zoiOKB(F6@@9s!*RCTr8Hg9&+?p7>dGqE5-%Ge|#!Me}j8Q)R+R=>CmOlJr@rRdP%(Z<)`g`V(m9jwJ{>+ZI2z_fZ1b>G`^nu zA|JmXYy>+Wv6JB8Y&uqQYw^XYhDm50|2 zBVLwkCN{s=Nk7&~k&M5Tg=4txOUOq@7jF$(Ukn?7Ss7E(JE}BehJB`u7Smw+))BC;QJW<4_MJOa;H*wld6+C{@_k+86A8$TQjeLV@x z(?uWK?i0C6hj1HdsH!Cj)ZA4iZa@*OqCy>N_qKA-7(=D*EwZTY05i=-!$I&O%xL%) zw=bHfcHG_Fc*Z)1&}271D}qUjr`b=#qPo> z*2+RbgGDbN%LE$@H9F6xN>dIRc%arb>kUU?1q8KR0ff?`#xs!9?UpM;ZkE!pymn0r zlITtWV*&JS@=s?#y=-7nxbqY9n_iEOUh??Sh`^sF8sa@u4RGz{x{b<5X+NHD;v{Ac zgZpv1n)(zA*V0d#E(N+CZ1V{QTHtnuVaPP)Ce!}M#9|OZFK#U9pKbq7HYk2|e}r_J zybAD%0!bOq7Z9b~-jusRk=T|wG9r5JLbF>~xv}S&^Z;g?{km(A?3`tr-}+^x`c+tQ zD;`l(6wezicAh*EJECyF84_*duPdQo!xi($`IV<}f1R|n6r2`A(J?t(Gdu^v$d_`k zxxti-rkV0Oq&wf|pvYAM+=3<@O^6G&n-&2P_A-#GXQRcyB8g(c)m_$PeD?6l zGi#Xy5x`S+2T^#u_XGqVL@#{`k9fTJ>yd*Kz=y^>#RByHWJ$jf;nh9vV(pgM|8qwBYB> zPC>5@q%j`zZ3=DH-(YshPVA&_#V=C`$6>YmfyFZ7E%65Y^LwU~%yuR@g982-|C44C^+E&D(aBYBL;ZyoN6gVK{(WONdyIZC+f|4O(*SJPb<1!W{;&)J~&T|ag2Rbre~A?Y3(&7 zD<%9k4#fiHy%lu`bHtX;bggCuMILRqF30uuq1Xe$SWD5~rtQN&0u9SW-4*(8Ly%dm zd&x6;oAxgxa5kMwZlZoU2b)~DRrPhwSk^ZOkx_&^da$Qcr?ab#O#GMBX z2FOr=n-*|0mQDmgJfu>U48#F{0+k`ZYC!k@KKj>d0dmg)a%YjrEegn8M+HM#V7kA) z$1ld}Wu#g3H^RL4&-L*C4^Y+OE$M$XVDRlk3qXIe6r{w*RvDP&g^0}5-pEc&qn+`# z=*I6VeM|eb^Vpt;Y~0)>LVZ~i?F|FKHu{(wt6{I>Gr04Fa?Hkr0# z?U}n*p0GXu?@lJz>;mS27He5X&AEJQ+riy3?8QM&*Ob<>K7p>dJE~KrhiM)Apfb}m z3-ugO5mn6$`7v%Bn&iV_@WFmJ1i*P!nISkc85g4XEKIx8p8UXVQ9mS+_z90%K1 z!^M@zrm(lj&pIJ1RyCF6K`(?$Gxs#R5+3$ZWZyRKI}R1^FRmIo7R_dBV;oeDEgOh< z70zc??`Vg0Kqr|0*xgUu%pL?wc9T@^s&ywD}nCY>JN?wyh?SBwzw&M zvWFDcsTfd}?J>p8c*5iaf%QH`AAw53bVJm3;|nf zZXIIrknJ1{tM3zE>e*4p`R$$tpO*vkst?kiF^V5@XjADOHa593D5TuI|MJ8PgOPuQ z^W$9Zs0_bvE~AW=&0%k{wK41NDDJVGrB|E{Xk&d>Tar7r{SwQTp%5m<0uHh=zVPp1A@TElQJ%VzHz&HBY~ znW`W6+p|8Wbb6ZQR-KpNtW#6J93+ z4!Jd9^vIszXiSh?rtSQ$=z}*lZoeaCUsRTUR%HRf7MR~C>A?x?rGK-MRgfh>MCQ61-NhxKPDRYK8GE3%p&OFbvGx%@a zdv$d0eedtSzv+E{|GFR7ejfYT!`f@Fz4qGcyLPv%9L7@)3%oKd-+q^NiUKV~e!Ey7 z0Vv+6IHpE}W(tITuWQy!+zJ_g4)?d%_{A^b=EeTS`fueaX~|9nBob&VC_t1&gqM$Cc!l!Gb)Efwv9k{;o_ zr&(Q>bRKRKG@fWE;#IG{e$0YG*3il(%m)N-_%0$Pzb`c}-}KD^P1ib+f>!7^S>CWM zVRRTkT(h-f|L~6qI#9(De7OO7sh@YZXMDppnDek-M{r5)eT~9#`a>*?pq&~iHmOGz z_BU0Y2|hzyC*EwZy;7g+r#qCjVjCZp7%?!^q=)<(^>>%Zgnx-4!Hb>xm!Em0G2i5*<>!UGz@!VQ7%I)ds&AQ{E6GXIot z``2Qal!D!X#dGwD{Zfur7A{Y8N#m4Czea$4*`)S&)nBzo?+Vw@C$mQc%rL@NBC(?9 zV}%YYDv3g$coWZS7*(-G|Ghc$t7@S8&oR$`n`&V0uzpt!v(jBovZWe;P52JgfTHD- zpPkvG;6u!#;vUr+M6>hGK=O31UTUYXaZ%9rT63eMEopNBz9HG~7k{ z66DH0u(?%wGK}0_@j)D@cTcfV;{4hn7bB@yRsnF*NN@&}2AH<3R#crP_d;qWr}S)%EAbs|3O*fMC1- z8yw^x{r(Sm`X9x{qJ}~)L+!GZSlSaFkp|jcjeQFWoTw>g5}aSiN9Cla)f*F~0MI56 zz)w=&e*O^Irjd3FgHmza0fVE(3nlX=5t!k&osn+z&0_9Wnn(HFy7ybD;5YTQ(|v-a z75-_qo^rs0>!1x%#lK>z_M`y+YT#szg*6KZ;Kju7Nx_hhZUDd>?Kgnm77mY=zi$HA zC?`XF%7Y-3DIOXRAo2+K zw$B`!1@P1MeKQzuFgV-Tu9I0{u*Z;-z(@peUHA`w&|>_OzNcHhq5OW=1c5#bqzw8& zzYa)&m;OD_&|qyo442Qk0HA~4lc~Ch$)x@GfJCzAvp8ftu$=~gkQR99wj!KCLjEH_ zt!?Zg*>m`^5PbOyG-h?FdB<7Ad)rmqSz~^@A=`q(?ZE>=?!aFD9^AI0G(5Crvw&R( zq^ErwADXF^>cIi95k7Nu*gJs0O(w1kKSWqpUluSZ@k&~j%=#fTI@=CZb9ngCKgK@k z+Wongn7WD#&-UEFg+|=94WGoDg!lm2af~@BkRaPr4B#ETPksk%eurB6{KRhlirw4+Xx@@v_}qdI zfWFkyyw?8o6F&0rPp7)iABd3Ux_i*Vjo!n6*BuNtuBS1lU0Krtpe&01NHhtsZ^wOp z6_>PT+u{}98oFaul)+yyoA~}?$2dD84!qvy=XWZO36F`l=gAN62*ZDON#m8(ALppF zxc$mg;8UVtvI#Rhgj`a8vf$}yh*pHESb)37JAe2F`}uXrZ4xli{GY^@?^t!z@j&X& zzeAn$kO2f+@&iEDw||I$lhJQ6nVa#_Ep<@A0vH$eZ=lvKE#^6193}kpAE4mz%E2pB ziy?Bk<@XI}+eR@oe^36NyTLUWLzh$@DjxJ=molG(yT=$Ba*do$4 zP?!BrFunb#zV$tib}XV>a_hTq`laUY4wOgoBk2#c1M+OENz{J`&A#*pi0>b@)*;6q zgMg?tw?N;*l{VtLO8*e9)YNRV!^Fne-oehq(3%XSY_D08i7=gJ+WIXn&V-P)xb9$L z2Yz2SbTBz*Vq|Mpi1gq=*QJuzsNs0d+;N5}792&Pd`hmv0H(nN2{7jZV zil6oEspG+lQR`^F3X|s?9vTSD3<6wWq_J zHMuPVxMM+Ns>YlTH4Eg^<&FJF-$br6-%PPxH(J-KF%IqKM%W!3RDk&)<(2 z54W3N85Wn(2-6^hv}6*-54{nh(9~!l@qf3X%irpXI(eZCSN{_6c=r>3C*iJlr4*B` zv=y%10SBF6zT_9-q#vOD-tkOVjKA~~oDpU{yJv6l)v(7^Yiw-ilBq8m`ksEK*EZ{$ z>5bHcn~z#tv@4@BKW<#!V06+=t+r80SmSYm$kc*;yV>Q`Pqk#E-0dP$;?e02@8a2t zXK2!9ytC=`yZ5h*DaBeSrKO;3p3S&1RVq5^>=iFq?RT76ATZf;Mw2vc_1RrVHTc=? z07hT7u_Fx zb`vDQri8RVRgd!Zo5HZ?d|>gZDoxEXAFrt;jiL8etr1&#QPd zfSO%IWnD&XD5UK0jpK1~l_$!CT<%fNy|mxeRP-Q%n?_wX^OKRxm7%?1%n!c`@*HQi zk!s6+!8EQ~;5C^nce^|40aMk;o**JUivzb<-=7<*G8iadI!Nu^n~TyFCO&duOi-!q zsXU=`L)TaJaH+OSjz-iT(q;upilxFQc<<43l0_9ukA!^~MRpf;AbvNOcuwO@rZYA+ zwhs18x=aE*;H@f?9uq>^*2cla2E63q`}PWm@@w zkdujD;FpZ5Cib?Dc19-lOael`yis?(0Vd}qLo<`DY5D79=Gm(1x7mEw!omKMiJi2q z^$lB_t-^%2T5#RgPVI)F5%~6;iIatqiK^V$?-T!=wEAz;Uf#sQ%-n&Amq&;Rq2_qa z;ahbI)?4)?$zX%SIg9wy$?qI|rf0{pN)dIu~TFhiIffLU(+-vs6WV}hLr zX)Bl`Y)dKG>?c2F?%;4k6oIg@=QadpSQBm|TWf@i;SB^2_h|%79O(i~G*&>5xn^Q! zVI#phTYzF^vM`ol)e=xTt#m`$#N0yO&CW#4?V`Goo0XA>F)LD%f=t{+)W!OSwTXiv zlZ*9r8+%a~iR0f&7X|69*NEei;&#TSqN?X)f6W1&B#!^u7H4N?Zf8DjTRSrZkBEo} z;xsRUmzN9V;IenMaWHh@vax6WwSaRb_C|IVHykW%ZJ4$SG`wc(=pb?2(b2+K)YQ;a z;F__q0M|7`K4UH(9%Dl;Lt{Q3E@M7EV<7<^VO~?7YsY`B-q>in_8X3N*T2rK^#Z?(&+!HIsu8cEB!hqSC-h4y@qdM{;YBxlW64@$#tuHt^iM zg1q90AM%MKwuph((Er+&|A(k0DQ;{eYP8jMTf1MXS2MZ!pBwG#7Lq#^>tFf_UjLE+ z6#(wrvuBSu0>AFx6XV+(zVI;)FyNQWNb`$R=B_Satqap`71{p2o{=HO( zXpbB|M0<#io{{w!J;MnGxk5fTyaCL!HJ zMh-G$?}zOoASB#HL`Y0b1kN!DJi*^#L{!8Fj`5t?O|4=`a{ML@@2%iBq|9g2Khdf- z%(3uYv%9^A>>%Bt!$(e>WIe^k&MzP+BrGB-eNIMJPF~@>n!1LjmiA>GBV!X&Gjj_| zdk04+XBStuJ9qE-_}+iu7ZUpT$MR~b`4|)S@`=;%x`t= zThIPu9lQNc^=!Li-}}`G+e=6Q29J;mhJ~~Q%rNv6puru&F`~>NqMQtFEh=F#PG!~TH~5lAVDP3bQ8wm(vvG(D>^7Kp>(J5 zeM4FaMM>}YO^%L|H}v<7%!_QF+$YnWHS#wSP7>78`H+(vBj^4Wn;~(w_p-77S-<-l z>H#r(2S;X>%{DcKIW=VLuf^un z6G#H06Zt2D_V=b1*mB)%%hXm(AeTGPRmwD>e$Vg$FX@DxXd?(bk4lkU!l6_Fz8pNrj5qb;c)Ux>avw;w`yiTu}>0UQAQ$V*Z@IdMfRcNJSb$Qe4CA z(?x~b=B!WG<(a;WrKdAJl13b2@;9P!K*bL+SWg&yYa`o75aOwh1OVZ~Fy@PJoC^}W zgc_9E_doW>1DGOFUbDCx_UlJrvWINg*y>gTq?s;1dBc8%xBml7GV9p^6@6#x1WV>K znN1EIdNx@>f{L-ytBrJ>>5;3x3n_=PwNDndW>?OzXrDi0X3a^;P{r&-!?=fw>FB)N zYlfG!r6+S!%1n}M-GlfpgaEh4M#BdtF3Q|7C$(M+Gw)6|SPNMZ<1$i7cYNA<_;^kh z{TccyfI4TJe;ygUTWYR*W^f-QO+Di7Gs@tQGrIQV|Cta=+x0D?FU?QdvG%S4hD_tj_t`4QIb?BMK z=oW{yvRTZD2S#=*1~0B^^c-HPb$Kgl`v4>47(I4l?RlRXo#-AzlJ;{Z+|j&;cZrx1 zlU|ekN`I#@nYC0*_nGPYW@}auYGN*!JNH0IR(S`r!*zwquQ#MbX8p2_aaNnK^Fib8 z!5rD~k>G{_UEPgr2}FsL=xAAWz;1}Qw`$hr61g2sRNMlrlF74P)FHW!a!jx@=fMC+ zweJ(-#;K42m_N*v@3>*cKG{P{6#{mYw4D}6nir*=>eSsjp6N40LdR4(;9||wEQCH+ zDeKW1iOZWX@^MLcOR@*s!4&?DBKg=*73~J=0WHdGC!St~OT12VqM8n&Dpz_^7I7$M z1^x-a98|xZgmQmeY+Q*OwOV7EE&rNKSS}&Qf!;0fo}`md~G*=Q*0JAi2*4SVt`~ zX_)Ojl<5)gt#0SmXerv}ixF_|=dzg>U|W93Mb@ckW!}hRGo77t(5}mel7(2LLPgq* zb$ngXHjj$_gE(p(zGy}l?Lu;?^}02;WM5pn8|}g=#uUk>uBEF_lhw9{vgSuZ-%KzvpU0t}qmyx*{j= z>7`SploX6H{+9^l`YC?owAFo1$2W;zRv*dGTNhLK9h4>N7mZNUL_Ljs zh4L2b0#kt1w!B=k;Hy{_C66y)J&4M&-&LffsA3DGD0J z?B{2kG2KT`gK)*!j)TzND|%L)180(ClC9i4avD3=Z)WE!GSNpU6bg3x588TVM;4BM zT!A3OI<~C9KFQi~9fbXB^Qs0Bs}=|9V#9E4X|JtD`#QYe<;|NmbCrdiCBdvN3oPv( z1rZ39K!g^=S_|pFoQ(f(Uqt>rD+rG5z@=X%Nh4V|^@d|^LLZ?e>dT$4f}$2@M3da68Ap=t=&Bs^5+n1`)xceIgx;A%gAm z6Kmb)JJ2qW!jsL~G_%wrap`@*N0)=V1fS3uJcm9_z>=QkFNcn)OyssX3AWbCFFu{u zhZjnfMi54hmPx!U`{=937#US&VR8M{C7)UYQR2nAO3Y%!;%bo29W;5#Cd`KJD$`@7 zQSaP~)L&|yulMANtfacNU1_w9dalcLv35k5hQE%YSlFNHk@lUU3i0OWUWtZDy5UPn zlUD80*1WnGGEPZ`tIC>BkeuNTdh?};$Ck4W@$#6@{9yIq;dO1J!1t5AsoJS8;yPke zLQ81aUcO}^NvslKWf{#&b@GEveC)6Wv4^OjzH31_x=>xI!D@S|rr&NygXfu7Rhkb(Ut-b>4el*b8aF<#r7ST|2LY-ObYFjTIau(W$PE2$&Os{vY1 z2k6CgU%beRzD??^z?tz*Lq?q_oz)vwRh2}!8elI8V$X#b4b-8D^+4QZkr-kt=%9yW z`p1I&JEfgOYQ3}o;;o8^Bn%{<|1_;JFi%;c?cm%vFc0^ATGgKS5Uq(QylDS&okS9& z^`)13?q2-;tMzfB4q=Abg1#y)9dnOd_h;<>v`!#tN=dZ9dsE}Ohlqvi+-FZ&iepiE zxq%9%&9>Jf7R9@R)T>hEORi?-u}`bz~f zx+(@fFv)TEl%QP>2rhkEAg;cMDnkhcT zMHpIpyC&)fLs$G*ha83zNepnKeXl{d{#rPW{o}qU@2xQW_k6#iHt3h&n8SeK%T#`B zY}%cK*OdRwZPP!N=E1$@o?u0-XC6tzFTvfZ$;qW}ev&H0jl;EiVPmh?$&a2YHrQFbjMz z>!%Q$fv7t#kNG!Vo}g1Had%`W(djBXbe-*~5dBf3_0fe;ozc-oiqB6YQ03#i~Mm$BY%(# z8gq^`1V0;xFSo#W{fkqsuhYPHO2b_{;9O`eC2f^0o2&i)n~E$^6wR zM+REmmCn=qF2>$_(lmYY>=_06sAUqyjx=+$01AT!V$&-O!yZGYqgNa69AES(yXBd* zxRuUGP}zIb=G%l~G(@#BLbOy)mcMvVRhlpO&c$EJTIK)p4je2rum|qQem% z&N;nh9PbZ`%;Wa24Fa3I- zrr*TJ8rw~nBv@klfW?YTa>o;Fr%t5<$FFwpgQJ$rGG|7P6(_(;B~B3lo1VR-r0|-_ zK!y~Pg|i2UgFZHrZ-y?PaDwC_X!oax%z^km&K>x6Zug~D{#)A?fMRQJuFbYI5U;?p^!i>yE;ctCN zthhS6aBo|Km123I-peyO9egXJ`QjmkYykRbVSsqXt7Q7q}z2QKo| z&~$gXdC6;@#G_pTUi$43Hha|gv8Sdk(T|98f{1DJxO&bkug2l`e$Rt$~1+)1Gj7|WyGB-u3GeSb}%paXJL2OEZ)ZVsag<S;((Nj1uOJB#u1EXm>m~B|)RxLAds}^d3xvL}- zgvB+%$%rtr1Rm##u8te5hFpRSEb$m#XEz1RdtXu_pdLmsdT7; zh_e*Av%o@iH`Z^%Kxwk!5(D09sncl&uHj@$@D zR$2O(QGmpN9n>Rt7tqALsLJ?%Frkv9KE2*g(BjAoD*|zp96X>QH|3qh^|DJ)1FkSw zC&~5Q;*)BOAD$G!OB$e&;2LtaL@-V{NE}k`$DuX2thbXb)Pe`(2ab1&=i7+quHIi> z*&#AsU#%yFP2`8eu0o~xlE?)K)*s8WrDc2o>Ox@dZ1e3u#s7%p)Gc~{=LxpTd6t`0 z){J^WjJoJjH?$f)?dwmQ6TuwyE_k0t4(P$*>x`j4GK!F25D0v}lWBdwuNZRQlUleP z+}^NrSi^psm){wpx|FL?IaBVblUlVCVc!m+ujw27+L{oprB)whHBncwwUL`ZQ&tz_N_TC$xY}Y<%g% z#rR%*WyH#b@XON&PY~AnMm(T@6=5`=7C)vo+P0LQ#S)W>I*B@s6GrtRH})jk(p$2{ zy2vg}XuLlqcucVA*+vvRxt|aBdq-TT z;^C~xCV$z%VqbUE{i0Sq&cVc!a#REBWiY&!&ZrdCeYr%a)S?iXbVdIY#a%AqjzWtL z`P?P2P` z_rhuCKX1Tm{nOrH#wAgRVsLwc(>gBlNf3LZEqAK8z<`m_K#wFjBC}$}{aJRRBQjmy znZ)#E!{sydE>&Gs191(@hR|0eRhi-20RAqjBa`s10~3NBCL|8_Rf{k_A9%4c?fANZ z^m@aSCFDy=-P?^7fpuO2kk9j>gAcfRK0H}Y%zMtDX{}0r|6Fk4$152gr9>2YVs;`a zn=s!%TXBs64z%7`3f0frDMmso>7@PdU!Hs6=Pl2|d@km;t3mMxN{%r|l=q|WVjPEs zQJrkTJPF#lN|Xmp+3B<%*%1sS_4v=Az1*CE;3t^AfNJ9>R}K_4CQ)m8lZX3~cUv2? zq_y};n~Ztys5?QCc*ITphg%Ij3|icra>Nt$xx}rn4l{FtChxaCoIc=p1yE~ji)`Kp z!V%qD!ACasCvLSNVWhmJ0<)xyCA|dOgt@fwft79jq$DQ@H>1&q3w=HwIeTPO+@88_ zZm^6>hW_({Meh0Za^BhtL@VowBZOMWy~Q< z1O_b!_BVz=s5|Uab9dz{C261QHUWWB;!eDh#S;%ZUoM$u$H-8$a8~Hn*xVR_5`pWd-V)ZOo6U-WP z;GGY*RB^DScm}QY?0h=-t+|i%<4sn9=-?Ymp`4ZhTN$A7%zi;)Y=U+?JygucK$Qr! z3^Wk#hnF;cSE9C5YG`!?4ldKce~^jo@j?#KC3biMal3beLmI6Djdmi@ifq@ zHO`>m3*^mHAA!QN+mrSU{s1)Wc(8Cb2wErpN!Wis!fxFs{z%yuHFb0owxJF2`?M@8RtlM0)V z5bbxrqxkyhllU@v_W%x!$2uK7`WB12Md{srk99=>LA;&W<+$(7xvS6)WH`8xRO4vu!&KU%|TTJF+^NcDxi znKhh-gXRi68ALJ~Opn}*0$giWop(-yPIZKu8z?~p;McNOk)P0HyNbQPydm;_rUEQBsCs_{RzREv= z1Vj>mKLSZyq-~ zci5F;@rqiHok8hMi)}2bxt)K*--l9+y+HjQ)%|i9ZnTZxMXUHuagM!CNmAd${%Vdd z2j)JZbDhoX_TGH69{yldc-l`CbFXQH4@YgyJl$u!kZmjUWg!#$&^6QP<|-!T{c5e) z$on^g*7j&)OSokonhMx0GjU}r0%)Pom7q+s+OC4yp2a~YK@hm#Z5rw~a5-my&2ll5 zM{9+T09Og)ATP|Q)6Iy{h-T)ZBR5(D-QT^K#&E8yhU`}$CXfPd@nlMnTF&3Y9vw1$`K=%Aes^UFPdT`^v;8(40 zP4mb8WbI$2(oZ4rt6ckUlw{zZ(Tr8hW2_vmXaO8tjaf~6UUyzG%gOZ}(0pBAyCAf3 z&f7=*sB8U(2u@>}mP_%Wk{-!?84fIi^-AY05x;44kDE@hg(@)=BKQ|LRb*pd_IPU$ zCbBHiY>Z$$-{`vpeah8wifDfs<9F%BW7k_OT5L~=y{^W16a~5-syRv8kUM|U*Evoy z)+%{?vAw*DaY8J{{7J@c@;lykQoz;o_58j7`}jJ@I;maB%trqCh}dKE0pXc%wCfoM4MO@7_s-wJo zol_=fmaDMl?t}A53)_aEl6YhNbwpG(-|MkM2_!F&88DZ@J`#r4DJU2!GP*tH@BLr15L z_;#fXOFkXW&~;mM5$+zL>GtZ)?sST>?i;0jowjCSdkToS5;}Pb4zsctHKufhx9fB; zcpAzKJToYlD+#e^o4aV;JHQdHLd3^TquD8KGcnmBHF>3dj_$rs0l0)v!M6j~G_o$~ z6us(fW+T~^84q{1%@Ixtn@ooLb$Y#_^tBysN=c5(aL<0;&c>@iO+scunMHIRlee_{ zjm<|WY&`%RC#1$($6wyw~`tV!)RRrxe6Y2otA>HF51g zjd)`Zq&O-JiE)c=BhXWzy|p(dXQF7C=&W~8ZD!J|mjb8Q1ZOaP>k;Y+`3?~4C02?mf>9o4VJhqB3Bni!QqXj2CY}QL|-qs%(rP`4xne|*#7zx z8uvr1kozX=^hTi=3PWZvVz~*sei^FIi-Y>AK^%Fxm9j7_`ExTYCJ*RGEl*?^f2~3? zZ6B~i?Fw6ej@r=*l^@z@q9T_b7)2T7BW5Zzu;fbLuOZRzg;#$I4go1`lSlPWfx~?jRy6l<%c4Jc2tiP1Z& z`y3Ty#5g+(jlh3);pZrP=iEPFB#EXKOH^+DTCmq63qhyr{NZ~-NS>uLI*5BLoUZ79 z#WB9IuDqfTT+JgBfMW-v0hWQn^Rm{Vge1hG;9Ygvu#vtI_-}Xv!2t;*yYIFQF;>9j z;d`{#*?@|!1)9f~jyc0^D^<2$yc4&GQ%GLBI8#i&Hl=TL=)i?i=KkjO>t{%;1`R*e z9(jK&m6F_C2kY}B%EAlYJQb5kT@^3tP(LzL;~08c#1=7w_)^R$e zg?int%<_!4S?2LJ#YIn920vWKi06b}nAf_WRrxw2JIjaT@{zWSEM3xKdxVIH_L>k~ zkR@T2nCQ%UK6Tz%OM>#|3g#YtQ0@>%om{E4`Xmb6&vMsx)xcuGV>;hgsU1iWMqLRU z4%t~DApYDWAgqF(K1tVn;C*4kOy__GoIgepc386bjb+Hz1deNfBZPuhazv_W9^@Fdsgm*D+*< z)2ng#<_m}12`=F4FL>yCz@HPEs9!t~+0WQQ*BOJGGFTl(7C*$lY{^!nE_~vA`-^E+ z$O(a7i0qf!&i5uNx>Nc6l+sEfvVab|t~?jLo#|48Tvp_b^!EM7HD)3gRGSBM*-Rop)e0}SLh8k4mm&=;>b;yzsim$%@Z^_ztlpK9=3?W zgn`peL0pLyfDh~gHal(hqwVF1QZ0yCie(s=H8q zJ2H+N*)P+Z_)k0buWZ+VWT-XRF@;nn4HotQXR-iM_E8m*@C&xNAp?JgnOvXs*_VID`dmbS^?cGh=LXOfqoMvSABU|eM0?at8&_i2FLr!)U-L{KFd&a zI@AZe!pS7Re?>tXpA~6uMeX@kd8Q0_GN@rrq17XM9^x?ZYrsW!nzftrABZ)fZ4nrh zt$=Mu&3=aNNy{)cdKQ=dNp&>t3ajM*muq8eL!bWA=%Lh1NJNNcR9h$q6Q1kM5-sqK zibo@$D&=NqiV}ef^!b>aV4a?j_jl>c)_aW>cd}8$HHIclX>kw=FS1tKdv||Zgi5y<1980Xk}d_Wl4!;GjGXi^Nt0y3gkVV*5vkMmOY!5K zCxfGt0$49*r5}JD)#+k!_pT-A@*iYf6u9f*ZbT9IMgSL?pxtdzN7jA$VsNDNoX^Pin+m=0s56SQW3rH7(NV(FQcp;siQh^&V{ApR^&tA8tKd6Mh0x~d zD|=h%?k!`DN_+R@G((wCX4(uF^Hafm(t7!+*E1RlhjZL{G!qLcQw%0?hjeSSbsuKu zzt4Tt8O>rnd}01JZTS7lE6V~(I!a`#TB4kYL`>3g+dVFpgPORO_w^1{jtrBmU5w>| zFWd$x3jK$!ULDOovkBW%akpl+9bg$)8$Py-xa!NT+|^wjrFWXCwJ+xgfgebtye}sT zt=w!uX5uQ+aV?p(3z7{er=E|t^n#;DN3%~PKk-?*I2zmUsXQ!8*Njttd}-H@X_cCQ zq@eY&m#9vfjqPK(Z^v*bh9?F-AKAHuPBn2?k-SHXtir!&$^VhJl(giDS0knJLOQY+ zOL27LSw=V=bpy*L;Nf43L=PzA#58PBZ3wKrG8U#TV6QUb=7qp~(GcjtPSv9|fmD>d z30x}^t29yS+4-_5`X}$FyHxIUDQLl zTwpExBJq3%w{oT6z0bm6O>ob?QmI5b0*$k`s;TSAe64da%V9VyC64_~RHAUK3A_&T z*eG-A)fMYc1tx==NsMRrO9(eGthuMN0C%b@umjtkTp`^DUlK6!LnshA! z)78%$zY_KTAU%W}pXB1I=5QvV@Q&G_o8((8Jex%LchxW;D@AMe!j~K z8nMtCSVvS*TW@&|0fTGbn=Q;rP8ZIt8mx@I09<>iLE}pS$Y`pB|5o-pGhSCpnaKAF zjVUoq?A0k-(X{0z_m!!OL^^IOd)oqpMU z`n7$Z`KDV_Y>CuLGfDSH@s$_dTl5AZ6_Cj$SyVCbtVYe?Ec8fFi8pzF*6j*^Q(xV2Dll-{tCg2Z_Y zf-_fef<2gxz?{$rn$YVRK%-kBPyl@HiHk4pPJSeAw*rnISa~Z^b8IABHh==VpdpzZ zA7^;lA3 zS_S^3)xQB>xs6WM2_#1z$R@DeCEM3=;DCnq%~9!zX-v`t`}&;~%hqfqxd9oBs86h` z^MP^R(+ZhR=ld8%pN(^WCYs3vTYomJVHT7**d$uHg zIwQydr+(dbCh~KLACYErN?I!aI&%~E)6ZjHE5tsHy#0FTv#~K%g-TnBot=kR9?Dny zg;tBElA@a66gTHo;r)Iqr#@=Z>O7-@L{2;5f&3I@wakX4@w8>Jd%4+nO@?f`zwX_q zz~T)X3ib1qZ@ZpdIqXiE6~uNszq_N_W{{bA_=);p9}PjB@>lYd^A$RxwaJ+iIvHmp zEpjt?hUQ%%@45C$ilctX zc_hrt&*QyJdxyr2&4OU#cP^pLIvr6$HH%K*SgmKO);{2sX}7x(!&~n)cj4ySkR^QT z%v;EcoH7HqSl5GMw3%}CGONoqwZ~`=6tI^t^3(@@zy__%F4YNDf=j(w!$ziihSv+6 zY%9csY*u2jEc;sf=5{Y%1m~2?i3RDXe2868XjOSwK?cTMjvL1$wDC2kcKuoQWMVJu_bRVidV>e!G!tTG#HJA*;<;CN?*^lIv*-IFJeKz|6oY@)_{02W?H2N57 zbOecB76PH5E-$HfO7!*Pq=&*5fvG2ea>@rRMbE%e)ZB-Wfp<^3pfkh)WrIV~kBf13%i#61 zsXwXu-^Q!s*#TTH6zkv?LRv;R zK}M$zC|TaZa>19WJ-7b?HwLZ&k*?6}9iUOWIANf#bcsS8+|X#R0s3phielvYY8Y-! zfPzM!0R>+p`1!a0V!BL2nR}^a=7lcto*P4^4<9yR29ELu>RO+nADyjyt;YDb55d?H zP`}2HsKFS_BeZ}}^GoBe7E|1F?2c(`_|f!kzY5_SqLJrY0}gA3amq(D({_w!Y57CN zgKfaDPyu!bC{<|wGqV5YB-qdl#%>8{6!1|}6bX7_fNBzE<7>(0n= zT=j2C;<%l9%jV?EYwq>0i}}nA+%--YDbk-r&qM_u`PGYv7*BvXCr05qm4c-H0Xqo9o;qcDJ=t8$ILxZydR9VI2eaWKHlSb_KK`PXx$jz^Ee&jYUip=a>9 z_sd}OHQ%_kFhyeQ9+)i0L>P>aQxnYu27PK1)IYGMA9~s4+J=Pe=SG?jnK_Ltepcbd z_k`C;Uewx6zBghONcwsj?At6XosZ8=v@NiNrQD3aqI108Ddy&bU`6&@{tF5$5yoWw ze_3_nSF4<^3FX|l5czSRm-F;!=P#nZ8zi0fnP}NQtme!MuTQUD=8j@hm+Jxu)o?9G ztS-BxzWh+n?Ho9(6aJnyd)!o@Fr+1kW!z}^fJRDHyWw0wf8jYi4L;0{5c?>(=DPc8j5>%cFi!nNbvCALrg^nrG;vwV&Zb8-&=*s*^d;Yj-0 zPk#2?v8$Tox89A0xUL77Xpw6^c8yKHk#e|zIUXvs3ZDmOaG~&nP&+$lvcfNChF)S$ z5Bt;nVx)cac&Bd>1hwko4Rj7eZW`8^yEzt#j?*M}|33)Huc zTzmU__~MSDUEER3jv-V39oiDF zprC1diu@kEh(Gq~5I#x%0BVU})XtIkNnDuUKTllNoeEEr)seDJ3%5N7s>0rxB0q+L zV-a}oN^<0mp-l99k_+&qM>HHoa&);rDpqd6Z2xrN-+p6$|5-dN>`#XJ1~&dVTLC~E zf8PIZh(cgs{vig~lZDx-x`b{$%=u>K@SevO2ToHk=Sn(A#EUF|D??}gL{5w2@ucc|55%Lphv3Bp54;#%KI>LnrA=G2 zi*Q}My8jL^4_&0XMqcM7GON<(!9Q;rO+%$;siPcbqg@>A6QFjJ=qsm`;0iZX|4cY|5O0_OUB&0Jt1TKjHLlPAgR6L4a1Gs*;w?l9aPgEch2{a zO0GIybt5P7`Uw-8SE>gHV1aU1+6)jSb#!$JCzkR9{o2?fdtH%`_<_NylF*5cglxEv z7iWt{TF!)qVy}Cxy!iHVn zqZ!&Rn5Bj0&euvzf)Fwn(WFft1y>+rY&=c^L|aBxEqe3`F$j6Qun)2f#nF=7pAVX5 z5MzAhfWpWCP4AxbAbhIs9b`4`B^Ndf9mIy$*5EX!^KeH8J0DM;RUABXNCD})QNJ+3 zQIG7uXa%+g*sZNW2NFann2Q$x3N&s@fu4%Q*yKxQORxK511?rXHt631z6|zTs^l~R zhXZOr`5357WJ{G?0jeYfG_OGiPfOoLlJvy(#f=q%y9NsUThCn*Sdt}xs=daLfURnTGm$KyKOQ=RujWSz~& z`(}@fct9ZXXGK}$3Rwa0B(c}y10H96fk5Le&Ysr_UqxneuU;Jt%6zqktZcjQ97(1J zr5bFEL~g(mtTY{)F5J2Ch~WWn4M1BT+JrsTIM{>0J%_%Y0Zrc06y3ZyFkjUB7Qp_z zL>KsVtZl+38@o1P=T4ZlOs*d<%wNPjPnyypaFInE#JxiCPV&j2LNqK)QcSoei@Q=Yfr0K*$Uu{)$IJ4>FA;sfa5O+V$lW0rAZk>io{q3ng|Z~X+lI0v6h+9sg=D8pMcMa# z%f9csF*C3KqjSP^tF!#>z5jdfsq>lReP^Ea`#jHgf4*NkTr$|lKPU4xQ+q-Z>7mY15gQ2u+0F5m2zE&vFirnA)nce zE))F>tTGT{Vtpg)TMw@pTTGsz`Z>haW&1lok>AM-J|+olk1wr3ENPWFAML|0bL{3X z+{rB~Y+|yUDC!v#0DBsxwFD{qtvdm~s3fjztC|-b!tdv`b9sNTr{fcU-Tjh3x7Ock zYyDtL{EN|Cr8+(U-5WEEnkNGoQ&vhxO}!MIDw2xamQMX@q66=<-%dW)Ta3At9`h?( zr`_=GH$&gqK#x@hFG{e4oFn`eDXr4cZ+=#lDvGOD+&B>5(~*1~v|XUfY`uC!!4}Sj zMgpP14Pl9#H!eSZsU%HD40^x$&-e(-d7c zo<3BPrbYb44-vTd_qB%f8+Qm!ezB?&0{bmTxH}20k~HF*pLJIUC07GqJtqPe28{q^ zpZ?_ra9qBafX1^6)uKKLl>v?bmh<*NjndhHV59vHD_xS-{I!G{mw+xZ$pkodkh`YE zO}$ckCrzue1@w>jXM7q7#p>ilsE9qkW1b4abB4S+Agiy5rZ09r33|G8Oq%u#(O>+K zrT$}l7gVz&e9AcjK*AoHwGo;#+~fAm%f2i3GHJfI$jWS3kCoUJ;Hucy{&U|6V*xnO zb%DmDBzNR2Bec+S<)~SrGj)w?=F6IxkHzP~Q95%7^#A#8=>PFAvCdbXN@{uOgm?X; zc6*uPbl5N~;qC{Xe)AN6?{t6lJ#*z(?y27jAngh*H-p52);1t1vN<_;veYvr=i_HN z#Io{m~j^w;>Df3Bzk9`i5s^kF)T~ zm|V6ov;tpAshlv>w=@91o-{NuGPYsi7XY=CZS`#IuYfP*%yo^xAC=E9=M?o!4fSnU zc-2h|Y>Zj>1P&cy;r+$X!U((w35yB~ut-Xh|2DqmrsYlq%_$i<8N^BozKh^LkT9HP zQTn>cC6HKL0l^9K4Q@h^5Q!r;fG2A3a>~sF0a6SiKq|sbn$Ln){vwzW2%4JVT?jJp z-4^gJ2|kEj!{C3LR-S(T`w4-c5cmm!pAh&7fu9igJ3>G~*UCuO%Fu@8l&+brHGlx= zL*maI1uftlVjq&MKEL+$`TR`GFNi?+`mQ~%aTlAOtw8W6cky@JM?dHM69PXW@Dl<* zA@CCdYY^Z+#3w9vNK}lUkLA!Iu|tQ&g!mBO`VMF`5V{B}gwf~!8zO8FEQnL!*$iQe zSYb+*yD0V;+t^$YtDlcn~!yU6$+S zmo2Qtu1oCw+`1TeUiq4LujCOcgG*v(PssdM0=!A={cS9Ec6K~=0z8&hM!bBYqN2Qq z_<8yHxj_kTYkLbD-Rs;I)~vrZaKg}9-^%2QjftfN%SwZ~dX}~}5_@fJO$@{?>0T1j zGcXY1*3%U*;O65q(B;-O5a8oB5D+jB7UC1(zr?4v_qXm1^jCYoVrzBzbKeH~yoQ$z z&4I?s8bE`OcQ5a+gZ^jr2|%>k={La-<_OA&Nde88jo}IKkz841?n9#7{Cp~37M=%a z#*XlQRqhDy3NY3U^q)KOKLjnwBL@0n`YU6%wEDGsWy7ogx7EIEB1y}BIPz;%#|k%d=)my@5JlZ$hu5hAipo5(kl(^62- za_(Z-#rdcIEx$!<-Gmq?r6nfXi`cN0hY($h9_7>mL{#8lIY-nVp+oSi~%?%!>#?{Ohz+wt{(WAR!?p zAzPUj(FQy4A>K+tx`%J$wqr_Ux>vXF<-fIw_P9@2%Dc_10%s@b^sK7MckC1FVw+l- z+UJ@5v5DROOEX)Y*xI~W5L<|ez~T{aMW7H1mE7LPe6eJV}iI^4^M9FxHp^bZ~Ci4sp%oiDP%n0sb&5zE@|J@Izy zLVz#RAeDk15);SzjSU5;R3B%| z?wr645}$RC;z_WiiX**a!LLA3woBhMyl3CDWkec>hepjnrvG&zF1bB!c!T?5j}_y{ zHr(W?8JCO>7p8eJMC8xM6=&%AvhA%ci`_2oim=SOQJxUh^t_CxVateftWVFyG?KX^ zGP9OKE4&KpU|Y3HrB`)yE$^p44erTjU{h~#qN1j|1ma1~p@Nyb<8VV9&q>>y6bEE_ zh9R+297J|VWlZ%-ZC`hUHfX@cAS4<*t~k>i0Kcu=onI*_42sn#9i{lvj|~XkG%gu4 z6S30n%9VQ1^x#UnUn=k`uGC%e2clE3aT3};qkcL~Lm;@Dw8A+n;gPaJ;@uiY7h0v0 zx_{A!=CAtR{9EgxOVzC_>i4G}IYyb#BqJn$zBHxZRoRe9;O*O8{G%ARXldh85H%FI zAU6u&;sU)uuvQI}9oioC!BZdEb99$GPon+q=YdQ^iMkDY%uIN~T7@6cj`JXj5DP3w z&4`2pFKcY&<=e$NKWUlDbGCa}74O~oC~ih<%NCx^NocGi9E_d@7ZWsJeUjkUmKyUN znwI16D<>N7HK1^#lw$RLp`58(H>1ryzknBqdKKYxWNXLl z7zoaTTB_&PKEE_9J_3!zU>GQLd4nwigM)io+l(p954i5Xq`vFbGn>mcmCBmVI~*H? z)uto6D`;+i>Ni8B%CVDimdJ|q^doE&LRZ_XgDj!iO!x%w7*`dxgGN9Y38nK(@Eb}+ zZuCG}=;FkpQeP%+-Va0)0`BvrFt`~QV~GQ<=nWBTpmq~yy$CEH0Vruass6OFY^tQ?=etTEW-ui z3j)!ie3C@d&U<*%eloFc$*y60HcYukIi>h$-USCEyK-;S(E$AA(D7ur{KC#|>XzdW zwdvN@@-7jJ@W4G1Z_4xHTlahV3R6~X5LF|g*~C%%USDj-_F*Z_T(VjDKavbd}tZr z3T`FD0Y_s_G(OsU(G;Y=^FvK1EF)Ts=ip4><~|Dp2(s1-;>FeL(%Mg(6-8#|Jt!Dz z=$p>HM`m+#NkE^hC1I##Wr)1B8fv#cbY7=)Ke6E@k&gzArNqb0Ll=ye5tQIA#91R) z6~ZbYC&AU9x&2U|I>4W`Fd8*OJ+g%LHlBPP;MsV1M)pwJU6#yj|Ju-vy>K3{n)7fT@~9z>H`( zvJw}+jF=CUlDyXp9Q*g-8gU$79=HY|0x%GQ=;nV$4YNxN^Pc{t`G>{27cDCKDT)KB zId^N|inLYFCG@vZc}flm+GN?LjA6e3ZViHXBdk(6WWPHx`Sw14dn?ul5+_r|^<5BB zzH;4>Zu5b4JdEuZ7ags+w2Jg@vSGJ#c^azI;0g>3sFhBa@i>lGE}5|Rqq}5&`+I>1 z!YW=EQui{6zrLZnr0*y<<;UmMS(U7ux*)VzK3L_tr^|@PI&ket>hQx*tsDwmn;~C) z0C8~Ftp|!=sh*MIDISwpH$9|6b;eSNI)$)Dj`%c8jHHd3mI3z=AMec+q73wejJ|4Ggh&SZI!s zwJE1kgp8%afpVDSMWx^Vs#j8C)n4(bPim+s-=>PYO^wGy5+0t1$P<^rO&(uoGnn?v z109IaECk`@LNTh#h%rHe9dq<&d6=#xl4KW^J#7kH6ZoPD5?}WLFGnw$P`8sLE zKfG7@Qja)b55uid2cp0N!sGQ#+Vpk+ADw*hlg?XVB7IlUUVZ1cdr#6PAloLXy6$wa zHUv9iPnqUuzurC!QCS}B%HDZf-lNgWkfB253F64s+J+zn3$i!=xPyLhXn&&lFGTK7 z)3zxIqj`5mHm?_rjQc}{>I=4>KB!waz#o3{R$a>BaLKy{WD;hamGhDQOG^~;mLx~~ z6!(6-&m5`o^!{7KCVR=c8uKRJsLJQpz6@ZM%1&}XCW*(p5*w)9GWpZXTsb!Ar0{2b zRJ}@FCL?onjuvpWEe;E(UYjW>zop-y&Pil!A+*u!qy0|hO@cQU@Lv-TaIxe2Y$NgD z7b5fJZ{FX${EMMwL^^3jT-^C{=N4JZvvVHn-QSqtRaS_A;^qWLo05Dc{*h0+emWJa zARVc_X;0M)TY)=oM$6V1}l|A)O|z!e02k?K1>Gbv!EboHZ-{a&fhsc+Qgjth4(rg{*W>NmRPxfXM|C+yss4bKv0fx z|HaOeHw_hcdEOS7BT;*Vo|*u`)lnr0rt{YAX%~)fY&kD_Q9{C)xHL_$fo;k^+0eFI z`*?S&f-$+wWQ(_;n8luw_f!Id(mJ)a8m-z>B? z<389N4xtIGJXa^g;ZxS)7SNw?Do9;#j0vLFYOsyTZ^deBj^pRdWx6KLuoYOP$I23& zN^bYu>QxxVj0smy53nqtOO7iCL8WOd(kV($PS~6qW69zp=DdJ$Inwk?+%!i2$N{nt zD!!1)s=R%gW)6v7Ic!hdlz6ybC(QZVwnVuFZ9?W2DYvJ*6-l~X+x6(0)%hckv1O{@ zmRtRvclu6L^IRW)VLdTa^TOl;$?NLA`{uk8<&RkIU1m1^Md?lzai%@-%Y#?d#>%kU z6Ft&YJ4QV^Fx{vL5R$|T*-Vd~DS$dYfJgy8k75(h>)uhXc%gxN%LudwEJ$?9Js0oe zxQsX=iw9xqBCvZG;OpMlMRdk%GwIC#$$; zS7Tc#oT0b?g!W6xmGbCCI26tio=Nb^*2X5+DPF;{RpPKf<9UNove+7gR0E37j*UUY zcOUwTFzs??J4v@2Wz~p>Iw{UtzS080E?%P--bIWCpk~09nly>;)XdpFLw}a+B_+H! zB5WE`gb}6+Ut%f>t_5K{tWLR$W!K|hkosAnBT7{mdK?Oes0;eikn!3u%uDa{MA?X{ zSsj}%-%-JubHA-i6~vbi9HTOGMo$teb*zSSl>HLKu})~;=^diCL=HY-9=L+4@$H3H z;+uS@D67cf*qgX_O+KT?K@{>ZtItCg|HeREBZKpc$e(%LL(x2Elql7i1M%e;AFK^@ zn1{V4wChcV&vw&7W!I_kl8lh>ZQ4rYm=F_-4MyUk6^FzcSvRBV~Mbta)s>Tj;_t1RO# zL~r~>>~0{LXg&z))mecp={KTe3bqDp(>^t5^`iIASRl(4-))7&`rZX`8-l~iEgQkM z_%@91zb`z*PU=V8Hcqy5R?8bkez&C2#(Em$6Y*^l_jD!&c@zNy_Lfq1ZBFaLeAfA8 z*%K-Iq>y#f63b?r!Qa_7wdT)cZMSE?Pq?j^VJh}zg#%t3tQtPtqvASs@b!n8mQUO@ zB$ef9yEa^{Wv9#T;YyG=JKc&U8RphE-|0$m-b=!~p_!zI1MkQRwoBwZZPbR0|qrsY_IAEz7)la>{3K5tBauZ2<-{pGL|0s={tB9z|MH zdipb_vNAajsu?S^`9;g$x(X=<@yB^iUMVS^XTduH@RA1^0<6G}f%I~6lfZ7&Go*)(2k&Gky&U&hEsILlrlJijFrsWiE z0P8Zs?W<$64$Xwc?R=Mhf~=paz*~NeLD4zxZ)0cI+zQ$PbrK?>FZn53UApoqdZ_myYX5f z(UaQ+R7CpczQ%!nPtmgOn;p@5)G=%h>?}a@TGbL3 zBPl1D=tK5?Q;aU81YsYq_`aMyx%DZ{-`*7O7?mYT^ z>xe6?M;j_OlD}dDxpiCJdzD&6r`|EWM_so2K63%@BI5(MA~c9!3QnYL=L7@vUczjC zw`~5yPv;NX85(XDc~mS&$v~N*diM3LqN1}+y^T8>GD+|6sNrCLF7<(sh*k5*cdXC^ z^7)jGVTbu(>*pP^yYr%Lnc8_i7R59N6nnnw>z(NE^Fc1iQ16)2tmycX1!; zZjBN{_hJWcgx0R0k#FyX$N93G$k>$0n#S3e>TD#XK?tX11VTtWsDtKU2x)+fW4YZq zf0bLhZf7zmsDe|IeQy-9v-Wkeyz6D=3Y{#QG5&P0##WS@%_jA=`!(%I1FqXL5P8Wu z;%^&+gFvt>ZF)?7p^pk?0Ssk-GSlvNjV}&HR>t;#h_2PUwD;n3qOA`T zwj3bYv2)|gBWLF|U0?36vS}Vmyvy-|k(mA|3sT@-zQ|FMZ~khm$R zQ7%+T&}mVa^(N`r$qxl;G$V&wB87cghYuq!&2V>a6B7>Fb<^e28T((DWyvHGg5rQ@ zhw@brhoca7O+R#|rF{wG0LbRR^FKtf-?g{C&h(QrGZZ|N=2`vmZHhGet&qjt!%-(I zDC1dBhpz2&q(OHYFEGCWCjU+f-ER;qz~cTJDBw3az_01rKbgm0N1aYr^?zQuwVf)I z&=uezKgAXj7T?s?cD@B2+#9Jev-=oFj0i@av@*k?fsNnupq5QrK5pRCBVAO5=Vp>SM}6bNR`a$I^YruEJKbu9&YP9C#&-K0j1Y5(O~2&S z>hjjlez)B5y9Ob_X1;4Enoxu&OkUZRnxo%hgw5A&VA@`)>3FXR zh{lLRj9e`@xpL0OoMY$E%c%C!boR1IcO=@{w~D10dF6rEG3V4OOOOakx9zUf0r97ohljr=GcZAQczB zM$eT2pNf|q*YUvZkrvo; z@zlc@vR%7ul0|BdP;_tY=B7W>V9ENd$sVK$3r?4R+R|IRoyEZAkt{X)c=A9Ym(r|I zKsP0DJMu^~XFu`OV$U1LTY|67pStBQ$Zm7`Etgk5NmAErm@`Y$Ff&heTJSd2EZ4bl zlA1SZ@eDF|$L}|hUd_7rxJ~kLXykR?3u&(>Kcx4VpqoD-Z#!NUR2B{F(&Xi4GC4}q zvy;hNVrYr|PWl*1X);Y+RspV@992I4>I2u)B)W(uub0m^UD+rD=3WCtjZhrX?%kQ5 zf@bY%+F})s_s92SnVG!K6%7=LybwQ4(uBUhb4(__87dd=+HRQn8n2hW*8tMOx$y*Zr6j}?mx_?qM(@6MS~Zx0Ig&$-}#R#zxE zB;v?aTk12{X>GbaEWN=`iv`m&OQ*;h>#p6I+Kx3+sSOWMkT>H#Uxp_7v}=-`M9_m{E~Sg&Y=|Uf>nl`@q2uDmzq)SI`}{|%C=r*YDf6JFjb2v zqN8t#?Yq%Ozjz$!Y#2Fgc{Qn{oHpa5D35{d{(ioP=X~B>b}u=bRzIJL-@?wu=sppF z-{Q{MI1h}09l#~%Z7g~*9+heY<1=AWz(##gbv$Xu)lv%^sD>v(mn!jCOB}h!=K$`9 zp@~+gQ$+`-%3QNM|9`W{xhiC7{V%szt#_yA-#TbI;zQx{_~Nl%J6e#W`6P()?g~V) z+XW>?VM@A-;d-ga(t@X-(o*vF}m;aBY(&0O(VGqIRGd}bWD zW4)JMQ5XtV;^@vpQ#q(E);GYRjnN1uPed=a8!D-ef-D7`SRY6&4CgT}lZ@L3!rJEp zoc2yN^K(e!ZQ>o6u4t$;9@zN28dPZ=$x$VFy~v-%;U7Y#{$m(VSXZv!Rl4vryDOx| z2fOcfMrMO2oLG#P%lAnPJz?0iloh*39;=}RC79n>sIIRe6xA71>*4v|$noZDry zH#LDlDTd8nT0%!rAS9Ta|MXdRJInC#avj36DErlEc@fWvcR}{)SP3qrQ}^mv2|-REYi_~^c<(2g+KRmB9*z~ z#Sz_5lpw9S{zq8?l2qFwm7Sa(gZptQiAZs`|L#KSvouTvfyTCK%62rIZ)s$v-jO9r z_+z!ciV;j8 zf9dWC++;0kQWYo+SK^f4eE_UdCZUU*fXMgQK9**F?>(KIO+8$P@^LDv|LS)eh>$*& zgnR4CV$|F2{4Dz?ks-88=wTL7`U76C8?~tU8{={D5s*pJsO|f&-=5f;5 zbdl-gxosTm6`pfPY&M45n0&avC$CytRuM(ccS^}!%`AM}bNB~5n%bwD-4)(uiWna? z_w;p}#e1|Fm?*&pxTOS5sQ^Zf`hU7iM&jIN8{l!+%5*((XJqa(0YxL)LZZEIN$Yyz zhBTHD1e7`cL$|#c-7}u4wA=l5dqwFc$qUFhPmY?2PM3caA6XJ$d=M{KNAvp6AB`y&2_kkOuaXI5;PCvsg+!=KJ_f3M!A zZEQdzhnjp&JoUle+(G?qGKTvCNN$j1hg#b2P!r5~HP`cOZDt^+pvkdMd~!=jgKBBo z@{jA}`r>_j?^r|XHFXw2`oHYZJ~gHZWFms86 zmbVEz^G=?q9Z|Q-sf)X|6!l_3fxWqZ`n0V7={@gCT!@YlhkZ0nOhhN4ds&+oG8eoD zWai;1+{oR@;72Vk^SN=_!(xvM=5xx+uDuKzQe&vTvcX>W_RC&;=haq*B(t{;Ny(1M zCi@r8r!)FJh0SF46Q3GZ_pE3(fOnEyg1|6G*4>O{PsF zE@VB^5^rxY+gA4SCWb;N;>N>WpG)k>m^nI&_LCql)ULG^4+=6DR!M&yQshvfAI>eEEnOJOL{5$HV%;#xQP|ln_o0Sjcz78xT93iUOMHk+j zzjez5!=8H;3=f_HpXHF>I3GB46z{h8m0^J=@i^zV%{rK<;inE;f zqyg9&Y~8$aq**plU)_f$QqNRtV&xVDQ-oW_p@D84>}d6TZYX9k0LFr(l#X%>6x*G? zSxYu8^vZuir5$7ANsMP1?Ke%gO%GtB!?D_xIGjAl)e;CyM#6Y&2#=@}vas&cT)>m+ zS*EuEcDn$*&>S&lhnkt<#m}2Ea!N#E9~5+~LPYipBPq?v1+ z-v38bx;*qrrNyxRV?^wyqbcRv4&2_uwe7uBdn0k*LX0JFgC7)q0dS=0y-T?^13+}m@Pplu0FyIYm zcQv3UNl}Y&*FB1;JX4($Qp*5l!Y^|NGJH@Xy#@Oo&V2P9vX@I-@4WxZ(JeKePGw+Pg2o8TF3 zbx=9<$s8Up`_-g5E$0q{`))uPJZOHVUO^Q-g*@HEpWhtJ^N?F$!6z@Vy(TtLI%sJ_(u~I0$fe7yLVx_Haoi1#9}=w(`rB zuFj3xvG8jD8VZwav<9h;wgCm{rLgei7RBtu`*~|$d9OAYYaG#{m~}4b3sN&)Yr@qX zpf{KQf7PPWbF$2eq!jmTlqR7+GNa0Arnm^-fpd6g67CL^rPJN{=9s-rBzyi7sLTJA z_!*n*(@GrC>Cl#0EO%^ui1v-LV@0Jhy)LnsX7OfPgz1lz!484=O_VpugcA~LXb z>XJ}WSVTs`jPwtX>z9Lk|KEn1G70g}AR+FYyDz;X0c=%d7kuo06t~Q=9`>GBLA7e4 zJ9I-|Mk`W)d%nwv3bPH-mWxv!a1Lr(Gd4a0dJVZj$JR)gIw6VpM-mqFh05%~{(b4* zzkPF!OKx!rg6TtDDTB*DlrUIWvlSdaT7 z6Wx)RyF|xm<&oO`SylE=0(i^r}n-1CcXBpqx$3J?8i2Ni==oOA;t!v_*$ziy`k|kut z>*V(#0*$=3meg9N^y&iVM?TzXmA{mdPh=cujJv3uKpD1*5UQS#Y(7E{ z@G%IM(N=`>`T*ZmZnz)w=&X*AwSSsc3`4@@1@*ChFU!$jN7yB30!I({MaBp$`voA$ zT*3nNcqvdOCOi!f;8q+5ZYrqBlk?jrkT|ksM26V!R(hZr3aqwop-#7#q1RVx<5OxO zgOQHegB?(3Hb?@9Y!+Lb?^jCyo?}`xQhjJnh0DZeh~E4U}E9o zM)aUY9ml2n3I@UKVJAWG3+K!hmz!ZS5@KP6$ z-j$BZ1>7uXf?8K{YGsI6{phdSMZL0}SlVHUp439)OtS+y`r_~%I5K#X=&R(H7ULZBZQlV(Cb_ECV+ach&{{*%*g{nXuy> zv;d3h_F^ek*ls7=0uLI}t&PrpcFC{R&;qth3B$*tXU33tj2nPN_4=0vC%UMJW~ZW# zW@T8#n6PPrH``F@9o~iuEb}TL8~`J-1yE7RYQQQzfj}}%f;XdT>6I2G9;5nXz%_Ls zXQV+3{YY3?cKwUStdjA(juo|`B1Y*)!=u%d2xz=3q z1z=WCCwO)Ms*(01__8{u6}FcL=|#cPZa-5kD-Qc^FmLFXDbc|$p%w#$K}eGW&;*cg zx=k55K=q>v>nq5tjYdj(*TmAv&6#TzjN5^3_(&Ac5d#tIK44#g03=6rc&!T4>Og@S z!WuoqvY((vgl#5nIsk^f;r(IZ5A5*!NWMQaB_Qra-pmv@k2!Pc0AJrT=gF%x!dRgl zYn^R};xbq6FfAjbOkI<#?XWpK?|;zW=fQ3XS{s7b8cR@>FXkIV#f6C}Wgtl@gmN}m}Vf+#A!MKB|u7~qZ7TM&Bl0f8~FmkR88Z=X- zW5RKbAD>sjvV0%6g#x52^aEVS6jWbtLlm^967qNb`0ZwIMlN2tkN&X z$0R$RM3~IuKCDFXQ_Sq=XmLXGtwix#D|D114ePAuy4CdP_{gaxxljiJq9>qMZf+ie@X7&n#3r7XkhEzun}?%847OYEj9w_Np9 zeZ#ArUZ2i={8LH)$9}H;CyX*)Yn&6U=(9myxh)=IKjiM@eJ@S>^k~aa&Igyiw+j7C zj*&j^ml2ML0p?kIqJYTj#ERAFTxPMByzH)pWmwW@(_yZaW!Tn3Vct85tq&8u{a}Ly z6X7D0o%%)WP8>%Fjx8wObX{Qpeq0o@-bam%Z-?o#(jH)CX3Z?~go>Q!fLzQn#_qplR z?Y(DyBF9I?NQCGf-GTg|PvG{LI(|!(rEzXEd>3ixhpmP>dV#1TS<1cuwmiBR7NVp} z>}mxp^i31sS#1E%>MUSTAH81{;|I|b(~y^xG`*W8P|Aawt{SK}^mtftDq{2%nV0}5Uw)xo}dSZyw6e{{SQG|$9~!TG_9K;!?QX7D)g*m0vt5*uUlncNx5Ob!6Q5gr(gIcwyiM~p}d9N^t2lE!)gmn4>V0qX;M;A!U zp~a|)d%$^f3`y`N|J4|fgd+sJtG~_-sleoEaDv&E5&XO_o1x0y(1ijBcg=gKS~ zJCiiXteiy~z%p?S`Cd#-$YiICS$t+pvUI<;q)CTb;?R;-6~Ryl2WBSeo*4qwJuOJM&j{aExS^H8qHMTIV3=Z+S`TxA1^CQ|p@C`af4^C^^Wp-#SlWvYJwfif zfh&XqRS3_UY7kxl4I)r1F{ubCyXQ^BSbVzO8!!XxhF;X`4$%6;lsXuf<_MtYR?OQE zES`*nN0#tyMY+%p?25a5VWUOJCu}{wAwWJ2PC#?e0Tkr_%Z*0G$F%TD6)5Yy+oFHt z-sV=uq^lu|@z5Hv;aSVwedDEDj$dshjOb!M7n^kVhZKo)_`j6gttYhUQCylM;2v+^ ztNg1>581Sy9g<8BRZkPC(V;P^E(z;#jD7sbm!HD(foN<{bU4N@Gw$(uM^S6@OXA-> z=RZcYg})M_C;zdi*2l@U6o&QzmlRe&U(yKP(s>Dq4*~55%)W-x6xB~sy~+*!2~r)W zmzuh@jI_<|z@2H?!ZOrQ6d~84Qfz1B7&Uxcg1Mr)CsSSHqP++4fDGSEQGS?2T>R_g zbEO$mBhQ0Rxi_92!oAUnQ~vJue6hrJ{xiiI`dy40UJVrc)YDXQkN}`?ze6**nI~2p zGyW!xnyRIyVmWzRdf#3XZAJnyq4rcsTo8Oj4=63YasKgqY7Xdp^z-arxq`io>-f3# zyq(6ZO3MlXlKPu~?H@R;f5xscLoc%Jx_aZvWXb!nz4wxwFCn1Poo_ZH6YX8Tb_9)$ zG6MPwD)^3)$?(L&iW4Z^#yf!j_O^#cp2DiSc~eXE_FpW98thvfY{xl>($0=cc07!@ zI*)VV+bqq~Gln4-Mp_n~*`Oq!g>^peRoFUBi+HqF2*F01>z&!l(dLBSb99&5ZQENt z{o~-q(KV#~l?3Nf@te@E(y1|%Kw?32!?cj0DRQO@2tm&0ah#}hIeZg( zq6Bh5n)+c=ppHi%c62V}2$0Ebah37BiN$@P&6;kwMIhC6_=De2>zblNwnW0CItzWM z_ui}R?0^@#fT56EIR}gG^j+T>2V7Ez8hAed<1!ajptbHK>)98;$weQ4Y0qOj+;BAM zr$+$lyDf)*91B&tRN^s~lMz_1GeBgd2*pl!bSdHE6~{k-2`J+@uqvVL9xyIuQOR<` z898~pUOYJ$-poF)76zVBpZ*7{$qmv9r)MUhH&e zmo)m#BJ_^pzjw9~QRmuQ+vT}Gyr`Dp<~e5P8N1WvZ7(*kDB;=ym7(alWkh{;HyiT3 zQ#|kLG6|hNFq4@VbPa=X=kxXRo5n+BuI@prFp*td+4!mn03D&aZ~y8cwGzLDL}*WV z^x8_S!Z`eMUCNWjad~TN?6kIcY2q+)QtB)tr{(In)@?&>DiDDJNAs`jdF&Z~+jZx8 z@#`V#SQW~2+LVvQ*>9J;D-zc4Th8%NvQ|Ot(@Sq&y*e4?Wv56%^Wn}jgiq#zqNd;I zVf5@FVDej}KrJH}l8iWxpRR!xDV&Zx{WxrOT22yO(ji^Hv*Y_`C}N&TXNkcE!jXn9 zBRrJ|-^TQT$*7YWm*0v;;WojNG*Pkqh^cau3Z zs0(bVlRF@oPnPg4-5Z$GZ-UGO7C2B~=TG?j7(R6SYkAAg5b0Z;^t^-h@9DQRbz6@Q z)#gr?dpDEV9S$%#$gEeoh1~?-lXPe>(kdqX%<8FBYgDe6UqS0;n@?ZOF&l^a{|bJU z|B0K|L;gRN68R?ymd-z-OUY&}JyyVtuO0)$a~;_~V8OHN)S;ARM1oY=Dd?`Fiq0A} zj*BV7Pvpa!eB;`kIws}T)B8WbIt2Y>9sd6^N(oE*gB|Jb2@bKPW}T3aefl)~A}!g@ zech>RToxU7Vt#rr%Zuy$9NlcY;YY!ew#DUnLD0LsSK>HC+Rgd^L64JGSf%1?oRX&I zZXl8_?q7OXt-Owmui_XbV>=wG(=}31rDJVFt#wTMYxO;Glyt(Y{KY!X(G3Fo&Q$7~ zT}y{`tROGOe`(K}n!i{ICB@O6tYmFL#73~8owjy55yh|LRnbu&E%O@oWv8W`d_Kdd z5hgA>vGwX*8Fv5O31aS{JV_nAi~EK0DA2r;QHtx>P9y>Suf|d)AGReU|ZkzLOKq&erC0S_H2Z$L^@fzicX@~d}=7mjEg(K6zR zqaCpB+$^eC$ABxXV*wJ8FTqWzs(fHo?mP&tOzC-l{r+W4%j0tJ^WAwvXo z@ay@bh?YX&-}e@Yam#du7Poj#{3RH1%%=ePV3G{h{hNX4mlhx`H}xQOvx|K0Gs`>h zrk^L+Je(58sZ)mLYw{fp9ehjoj^Exvbn33io*tbEs>fI2=*MRypd7%vU47BV#x#L% z<}Hg_w+nt>#8Pct5zn?e{`X2se=oU^V770zci!M{#aT?gS7a)HRf}7OkFDI<)xTi5 zis+MN78yUlhp!txAmVGAMNrQPT%%Lclz^yFN=c63%4PCvEVDaDtl&ET3CacUh6G@V z{VhdbeDTK~Us(wk#9?T9#Co6m#1KBarQd%CLh+O7ez%% zQbrVae2-Ng(~b(bgX4?t@E8-%U($|h@s%#vv31`aRQh05KEuw`uiW#0dHKMYXS}o1^lZk)jwNH7+w#wF zZN%@APrPtKz02ECW9h$eYI6Ruq0Q4)iS)ZlMcE7@hKOm(%Uw>2eTw17>R)lKiybBZ z%*kJCo3m7%y7mP6zt@*#mH7kjtfYkQhVL2uxdb%l)MVqkZlmK($~iT_ugmFLP03* zT2jr}V)6`999Cb1o`y;rJ0&Orr3&ydnz)Ywc6ig;WyC-Q3frv+i%UnfPU-Xmi?U7^ ze94I}Y(5bM-{=8$31FK5b_s%tI|3{}Ku>@z9GC{5&Z@b!q%9*bGv4r`AMjnMCakHc zji!TjD}aYGjO;h6)+FdumawMDHJm!e?LTI;sz5Z>>X<7Bw={{*G`yfcyk9D#=Fm0N zBw8T`HC+=<2>9rzk~Expz?1BbX<#@lTjG)$(}ZxCLST*uCV60vCs?K+`OI!~*^Qsz z{wtf~i4?d?TR(w!Y6Twl+tr**imlD}KRw7N!{Qa~)oNhid-RIrOsKL-Mk`dQ0Zmi^ z2kls|16-Mn}@CYM9e2qhqE)2Rn;ej2A`DR?$=|o3D6|0}qf6pmY47l+g-10F3DD2EyvdvciEI z7&*id(t!CB8<&7omIUDXoZL0tC_7~vWrL4j3d&{4oB=pQJ*Fo>ob?LwPlo`a-xl-- z5WVteEbIL@fa0H8_P|;g^&EiQ12^1A0NlEa#>4xEx&3`yKk-eYfmx*SRO|t3ZWJA? z_uIDRkNx4cH8uad$@ymf*XiZIh`Jk_pr^VLphjT!9bAkhB%_ac#uTF3t{i`Pe=qsy zz2??8r$w#AZYgtG2fotINeWyL2zunJm2BIZGs7SIeaxC@kDRTp`u~WTNd#3|S4ofx zA$chR`8{v`9|=-b5G^4<}Y%(7amV?!Sd>^sFC1G_2%)s|$xJtK=t391VnP-QjiH`do@?(Ify^_xD+F*~Mq1qf{!o0I_^oS~y@X2(Ze(Nv=Vu3pBc=qmVgSr-#d~@+=T;o*J;fSBjqqU`p)}d2T2n{DHA)@n#5f z9qF4&@-*4NVr%oRc&g5%jkxSa=bIe`q=DCn!_llYUh5fTm z5?l6TOr`TGXZbi1sYhLbK=uR(WOW@lX=F1c@VDjzjAa~p(Y^N5C_Dg5JD$VfSC}2t zD<;@kAMk?8&=f6XAdJHS(ZU&oQ0V4Z$VCBL|HHq1$%J*OnG(+lhWl=_(8oSN)yub^ zg!`oN4?AXNkocvbWyHOByFN`+HpZT!MYeK$MciHgV+3{&dY~VLofBS0Y*n7P23ap7 z_F#W5!yny(@#v`@Uc8NBZ#|`H(j)1F!DBseRk@mt;en2-3pJ9E z^$Pd@gX0kDn2HU>P`4ER&VtFlX~tLL?))9g84jhtQCF<7d2(4uy!2dNNqOJ9D}&iH zeFKPk%f(Z#fe?AD9Vmc^fl7x_;nXLYJBsdf_OCtkJd2t1_iHd^kws9|%YMnlX2zha zto~RsIp%4lBDIWM_A%|u+VX&9L>K22HJ;2Ch1&uy)_~xp2?eOx-E}}c&#yQYkNn5< zaP|1J$eA&xkD;FfWJ?1lgRe${THm6n+drn2a{AoByE#*2QC=@Vx&&QDz}u2(09#`R z5b@h$)W_IwB`lJy-vd-+jcvaM>Hax}e-a$Dr?yPD4Zk9EknY@PI#}^XgmE%w^^Z#hc;k77IIs{yUf9t6Ow%eJWOeSr{*F~VQ zkI$g_s{ulE8Dkw-5a4vLTE7BH>&af+Z)^nq#SQqgrk0>x0`|kPJ+uvIuOH+{wOJPyLVC99D!5OKOY7{pKv?!a_b~PTx2tBTvF7ug?d@Y z@iFiH&irO&@LJx_H%y(Tf)LXY{-mgLvepk}sF$9`_Z%0j_4UfTU?}6oA^!dVN@~=B zBitve`HMIFO8l(!3k32!5W|&zIVMeehG^~6xJCb>8|9rl6LNz$ZRvXRsH+Du8*Yg9 z8aFm*mZL+##-p5VNM>y7W4KYfHRDE+Y5?g=GK!SL$ zt}~HtJb@lD0AUZHdDBH<6*1C`6nkQm7QZJkHV1_^eH`W6S?Kq{sdu#u4sHaoLJa}O zIWn$;-_jtURjXv)dLi-JsI{tl@X6>;m6sYV3ed)r3-}FlDBMoj&n`EzZsx+8qcAr#&c0nDFtAZGtZ zi4KsdqD(TGY62t}3BUyY?BNeUDM)RBku31qP~bz!^K*6jFi^Zi)6usakyu6?eFH?1 zjY=ziOkwNf_5>UKl5{5rH1DCM*Wzd#xlX?+J|e$kmSRQ3Fhl~M>xeOCW`Ke(02F+O zW5s9LQ0eD#{(H7$ko?u>P7f3p{Y}r!(6eG?P%__K&*y6j0Ik@MrRocL6My{Rs5mk# zK#ssD`2X5_5_l-r^_><LeI24COO$2A*v(A$ojTQwbJRJ%d;j;Id;j(8`+3Xvyvy@F+xxuF`#gW)`|A`$ z`Ogr@oY{}*(PpVMhy2APrry*uHop?+OW7z8b#D%;Hhmj~31hA8d~7kSxH>(-gO!y1 zXb^KgZ)+UF%z0VNdBSk$kZyx~d`8IO&E2$>(qu#^6OxUYMQUM2#x$Hno1xiG1^7d= z>j|~Q1tzJiv-q2V(kE!au`y^s$l?^ZK5$%*Qio!a%j*}-k6y;$+Ys*&m3=O9c^!Xo z-QEOA6&K)9#z8IY=N1c2BM=;DO_-`fPJU-Ztd9u1kq?l)hI5U_pVNuoxb9ysr!Kek zX)M^Q;_CJa!95K?u}tuVcKnbA0<5B5BZt8esLCDVC|M$t?E)?Su0l-4&kl*R-}vZ1 zByda~d)*8?(6_&};8g_hcvYx}f@q$!4d)JM($e~9ccZ8rElD9I-!cng+8*hH zU5evwSLx1(GFoGf7v2M2a%v{h*ZcFEWW&gWV6FM*(5TALBW<~yWRY~ra*4W%Yfb<@ zyfPm|^PUICE}I1vq!Ad=F4r~IAS3e-)4)MEhk(zuc`P^k2+2b-v!N}4( z4OZ4S2>W5XQhGo*F1lGh3}dUtqwh=zj9-Pk@9F>D#+?Df7b;kl@*STx`W34@?HSDM zPOa;nwGIN8L1y1TGed?nWgUvtE{Jv%M_8EJ{*FZ;4{Lg>x%e4BVojiA7ctJ7muzOD z;5Lr;u=E;2@?A4}JC&PLI#EI9PT#35S*z|oa+B0YO>S8qEP$KHt5 z@frr9gMF#=BX8vFD<7+_lUGyrc7{H?i%s6+m{4cTEzAlNecs2GM+%XsJZ+(?zkRQQ zInP7+wrew28^adb**v*VRGz;pa0GpJS2&xirss4FVkYv-OUc=+`MB-&#o-$MTJ7rv z8}Zy^4-A{X(5T>*3|~Et9R>wOW_ne5q@3oE7cN=abvG17MNSDOk0%;GF0(=s^8}`( zUE{YiV<(x$D+nA+>MAJe{3Q?sZ4S#c-gSBDD7SF1AGC2Aqm5VWd0bfR-k&mMr)78+24q#II~ti3J{HJ2_>s= zK|wD`%VaFa+qXrm^|F5DEU;^n^s!hI*G!V&%JCRbMBCjLJGxQQZb3q{p(uMTiSs%M zO>MHtA%xz`emO=7nhm4=3Pr~Z6;kKN;a(9t_Q9>3=Qd9sijnVF#}H^S?e7|IzUPNG zJDY2@4_=dcQWoD;7kd0-9p-YLv)vke6B~VZ=??J1k`&_kGTomqdl3k8Eaz;#D`I96 z=GWc~?l=>*J z-HDn7^XS*nO0m(8zdavxoS0I+2bE@}AvZeWVmPuRp9DnytU?$t&P)|i6Rfec@@?QK z(mp54E7QuN2nEvG@>Es!bV;0hS|*yGm+yzy_ZtBry|y-xzYg#;6$^nhbxhrui)=Vb zT*wFM%~wCHLCwX2QoxwL*;01!Bo@1YdC13-tEA74T1$4+15` z4?VR&bu|Gi-kpt}jgJwYct%U$!w9Rq+i92?ByI0A+M`k$3G9o*oRG6qLQJqZ`@{zF zyC9HnUh^`6EDgd;CbYY!H?+@y3+(*hLP=!?6R@4J4k8q=^mpLuo&X4+zZwGYdJ)v? zxs^vK1Fp1}ot(5?I*jny>&_}?&(KlD^(voILxLz52&|`k2ZUnx3T>Mt9zi~W-sI{~ z!+oh;!1=@42#pU_gN{GNF%$GJN#|Xt?e7!$E4u>2av|Xzi||(naJ`QO3E*>f%RfPX z!--uXF*KWPWBEdzx6sK@i&Yd84Mu-<5ShjYgCbVT2ZyAVBHt{)_xZ=!4N&qDkwsQJHJntqXH|3IG1O{(V090lKyCoh|Y#trVAI2X(YO&|P0DXH1! zdAOO4`8vxR$2b)VKP56W%Uuqtn#j@0dx7$MvLXGjTKzcCxQ?{d*QxhluWE~J=wio= z4CH@;6G0I~EM^hIQ{tj8isa^IOkAq+YKP`j@^(RAzGK8(W~h$L4^kff3a- zZf2S(R@sF&xZyBmoy=bug5^Ha zC~UR4Jyx+Mh4a$wY&N9K@IvQ<%tUaZ7dRuVD`_W7jC{vRho_I;*ZM^D7K|!)vDujU zn^mXt>GdCJ&H4&^n}^z3=Q0PjX?lv7TgTM*d#hw_4mL||By}ovB{uhwx$VtjP0sMU zvOI`!-o0sspq5!Hq3&$Vgh3Xr8@8#C5o(s30!>pp|&` zaMPq8=@65_xY*j1nEPO!@fds~tT6h%B9d-OsRL6APdBB21GvTN0b}YzsrxtR+)JL~ z{bUiMzGxAqf7&nzeS-Km6Z2wX{2$!IFpCpVZOy96alGL%cg^(CD;@@tgSye715mHI z?X1J^tF76XC&-ykm=6z`qRo(jY4?TZibmp?qC>nBxG4NkZ(9qf5s_YUY9!6(9gCC0 zrY`)(&h&+}(z{GiB&iY?)1?AP`+HOQ<*G=b0s*C@Upw_Oood!>klGqmBg@_5WqI5! z_>yte+sY>F-;>)_}cVNJMGjHt#{^b#`xbuc5)g@y0pMPYdsS~iZ zcUQIE&3aFv>gTMwO7+V5hmxZ5h!YE8Pcte@OjOFrgx7_TJ~k#!sYT=3EM7#oV(c+6C6het_>WUs1! zpLg*!ZQkHjcy(0|(aU{3GZCbm)RJrF%~s+u>#W5&VEf&lKa%_<;(Oh?pw#st-szYb zwp(ZVFCK-Do0i)9tsCM~eaOpAGO=OxIYu*oDXUxIRR=;-!j-`;rC}PlDIgFq)8{)f zX|~fB1C}y>@B3{J$~g#cWAbvT$-8p8UG{WL`=KKf$??_5dpk;E$67p)eQl^2&WUur znc<76x~7+|;}s%I(e|H%Zz!~tl2cK|o03J9XGT;{dUjO!wZCR(@WV8Z(U8D#k{3yN zZQkz;q|W|3)kbmA{@Mxj3zH-~6P&*1R6hSep+-)@*FhUKKdKY4rMJz&R zR%C7~RunlmcWwfriAH^DB_?HG^`ii<$1m4DgC~D(g0fm4e!u!2xMDLnAYBFAHF0VT zZBVT$7TZ91@P7=y#_#RT=-ytr1^jDp&8RbJ0u4;zD}?R96n0{AX55uBOAn+>G~P-=yuaO{mNukx;rTvrSgye6qV=(W8OY z=0+(>_08X_kCp89rQL;wrSS2ZR0a=n9*WLSp`I4%E6q(lG3wJ zM_o_<;?}&+l4UdMW`w`-L7chTt>FA8z&$EhEgei9S!%wy?|HpW_rD0=neMi`16T8M zUlcyf;t@D+wvXXneUC_OF(W$h%4L&~7%_u3 z>aN=Gsy(hqhwpS>r0He!Pa0wI$%RBdiSOh?9JgLG+5QG#fnlY`;YSut`@Wdv#p9EDsYR_tpqY$zpj zF=z{UhBhcW^MQ<4TMR9mqa9mstfjGXz44L{k2EEq<{gU-&m2PY07KhCGIol1S}f`8@$fG}>(kP@ zX?GK-99evEOxm>pDw_avmS6#0EcwI@Q@ufo5qaQAU8#A%2Fe4~v=io8GF*m^y6GJO z(5ztkEPwQDK%`S3a3W{JJJ*W%+$Ofnf|NyC8~zaHV?P_@kwYHHVPF_Hwk0yTl{~x0 zC_nw`^p!=g+}}Ok$4kXQ{Uscqn_eAYHb!3`d=b?R*SR*AuY{^x-U2xY4jh~0h?S01 zUyYDUTIs-lkDG!g~q8! zDh@k{0-@=*4QDLgu{h*6=n-aV3A9p0au#a&Txe48&j78kk0|`3pNzDZnG3ZmQhgvQ zODh8V5`}DB1~Tg{MZ)y|Uj`-`wFw$dfy ziRJ+YqP#mc6RH7byCnV7d4q?6@?7($06SQ>0Cw8IVPhD1#*GgB=&aaHu!P?~jIoL* z8UIlV@h#&MOZq(oV=tf8Un_!vDD(Ki=JMyO9A8;A3xj7Yk)fTZiX6`R`rwPo-UsF` zE@*LQ1Z;mZI12$k)H=TFz5)yB4l25F6J@xqf(EWW2xBQ z3XvTt!e)f|0=uidR<+v=YCBSK)$1!9PB@nYNMVEZUvv;HX7Beu-6?#H=koCA(1hsr zl{;iFTo^sia!z7>&AQ#U5p&wu&Pr$b;mFn#L-^sybr%nZ=cW)wgSN?++|T58VpE&( zk@RMVUq7E$!dDPK(Z-2n6i3VJ0yB;*z)lubxYwwXVDn0~tho11;U1XWKe)`C*EgIF~ zhApf!7dUbrh#s&HldQz>{peLb+IVOy`GxT5Ofmp#Yd-<=W`rx&$( z<)!egC}-z`-B?tWM4enf)7I*J1L9|0;0||AOZ2KZrUzBO%TK>FIXD^Zpvz{$1l`VWYLo+6~ZcT zag9+9Tgsn2K`8tp&}&>AM&n}f9oasnp_IAoD(8T})TX=E*I36II}6qtHYih%T3zY4 zU2E#yfMFNLJ{Snd5pa=rxP4^Lnel)omaaLkEXpMQIYk^FtTHQ7c+2Qcg>zqe3#+k` z`;pofzk$P+EUSDn`JZ&C?3q#8-B)i~u3B;YjaOa{R*Fkm-ksNMl)urt7!}LUv;Xb= zpB*9uIBm-Oc{iHGT)IY3`;L=WS;`rGwdBo!zf!5CSR+T%Bs@`71v?LMuIIie7qcR9 z`-#;twyMpJyJdVKmSdGTpWlxcZr!gIs3rCUr&1nFv3olImX)uObI3@1($~-lw}nV zlCn|?l5i<`87a6D%vy{-kNwVm7#MxF*5WW%Pg|Um55^Ye_Zy^wl&q8-n3Rz>*4`IQ zALB#cANv5mI^b*Pf;b|%?Cs0?~h^u!GJ$guzZ-b zr3pa5M`@r4UqV`ZkQzp|-tImyx=7K)y7{_$_`neK*#_O=*BITS_w| ziWqqZw4$;c1`Wr+<>VFYY-JUc73>iXb{IQZWpx`yadjPS$MT)1cEt^$wfonujZoIO zIL^g(x=UjD)~hd$?L8Uvb3hc=>F51yTRUoZ_tvT(v5ABgTC5SKSqkn9-Mo|ZfQ4l~ jhuw>g9cJ+f`%8a-^tAQH1prE7mxU{^i->3$YP0_XfB_t2 literal 0 HcmV?d00001 diff --git a/multiplexer b/multiplexer index c640752..3bb09b3 100755 --- a/multiplexer +++ b/multiplexer @@ -4,179 +4,147 @@ :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; -S_0x1bf4970 .scope module, "testMultiplexer" "testMultiplexer" 2 5; +S_0x21d0510 .scope module, "testMultiplexer" "testMultiplexer" 2 5; .timescale -9 -12; -v0x1c26240_0 .net "address", 1 0, L_0x1c26970; 1 drivers -v0x1c262c0_0 .var "address0", 0 0; -v0x1c26390_0 .var "address1", 0 0; -v0x1c26460_0 .var "in0", 0 0; -v0x1c26530_0 .var "in1", 0 0; -v0x1c26600_0 .var "in2", 0 0; -v0x1c266d0_0 .var "in3", 0 0; -v0x1c267a0_0 .net "inputs", 3 0, L_0x1c26a10; 1 drivers -v0x1c26870_0 .net "out", 0 0, L_0x1c26fb0; 1 drivers -v0x1c268f0_0 .net "structuralOut", 0 0, L_0x1c278f0; 1 drivers -L_0x1c26970 .concat [ 1 1 0 0], v0x1c262c0_0, v0x1c26390_0; -L_0x1c26a10 .concat [ 1 1 1 1], v0x1c26460_0, v0x1c26530_0, v0x1c26600_0, v0x1c266d0_0; -S_0x1c25ba0 .scope module, "multiplexer" "behavioralMultiplexer" 2 14, 3 6, S_0x1bf4970; +v0x21fe3a0_0 .net "address", 1 0, L_0x21fead0; 1 drivers +v0x21fe420_0 .var "address0", 0 0; +v0x21fe4f0_0 .var "address1", 0 0; +v0x21fe5c0_0 .var "in0", 0 0; +v0x21fe690_0 .var "in1", 0 0; +v0x21fe760_0 .var "in2", 0 0; +v0x21fe830_0 .var "in3", 0 0; +v0x21fe900_0 .net "inputs", 3 0, L_0x21feb70; 1 drivers +v0x21fe9d0_0 .net "out", 0 0, L_0x21ff110; 1 drivers +v0x21fea50_0 .net "structuralOut", 0 0, L_0x21ffa50; 1 drivers +L_0x21fead0 .concat [ 1 1 0 0], v0x21fe420_0, v0x21fe4f0_0; +L_0x21feb70 .concat [ 1 1 1 1], v0x21fe5c0_0, v0x21fe690_0, v0x21fe760_0, v0x21fe830_0; +S_0x21fdd00 .scope module, "multiplexer" "behavioralMultiplexer" 2 14, 3 6, S_0x21d0510; .timescale -9 -12; -v0x1c25c90_0 .net "address", 1 0, L_0x1c26dc0; 1 drivers -v0x1c25d50_0 .net "address0", 0 0, v0x1c262c0_0; 1 drivers -v0x1c25dd0_0 .net "address1", 0 0, v0x1c26390_0; 1 drivers -v0x1c25e80_0 .net "in0", 0 0, v0x1c26460_0; 1 drivers -v0x1c25f60_0 .net "in1", 0 0, v0x1c26530_0; 1 drivers -v0x1c26010_0 .net "in2", 0 0, v0x1c26600_0; 1 drivers -v0x1c26090_0 .net "in3", 0 0, v0x1c266d0_0; 1 drivers -v0x1c26140_0 .net "inputs", 3 0, L_0x1c26ab0; 1 drivers -v0x1c261c0_0 .alias "out", 0 0, v0x1c26870_0; -L_0x1c26ab0 .concat [ 1 1 1 1], v0x1c26460_0, v0x1c26530_0, v0x1c26600_0, v0x1c266d0_0; -L_0x1c26dc0 .concat [ 1 1 0 0], v0x1c262c0_0, v0x1c26390_0; -L_0x1c26fb0 .part/v L_0x1c26ab0, L_0x1c26dc0, 1; -S_0x1bd43e0 .scope module, "structural" "structuralMultiplexer" 2 27, 3 19, S_0x1bf4970; +v0x21fddf0_0 .net "address", 1 0, L_0x21fef20; 1 drivers +v0x21fdeb0_0 .net "address0", 0 0, v0x21fe420_0; 1 drivers +v0x21fdf30_0 .net "address1", 0 0, v0x21fe4f0_0; 1 drivers +v0x21fdfe0_0 .net "in0", 0 0, v0x21fe5c0_0; 1 drivers +v0x21fe0c0_0 .net "in1", 0 0, v0x21fe690_0; 1 drivers +v0x21fe170_0 .net "in2", 0 0, v0x21fe760_0; 1 drivers +v0x21fe1f0_0 .net "in3", 0 0, v0x21fe830_0; 1 drivers +v0x21fe2a0_0 .net "inputs", 3 0, L_0x21fec10; 1 drivers +v0x21fe320_0 .alias "out", 0 0, v0x21fe9d0_0; +L_0x21fec10 .concat [ 1 1 1 1], v0x21fe5c0_0, v0x21fe690_0, v0x21fe760_0, v0x21fe830_0; +L_0x21fef20 .concat [ 1 1 0 0], v0x21fe420_0, v0x21fe4f0_0; +L_0x21ff110 .part/v L_0x21fec10, L_0x21fef20, 1; +S_0x21b6950 .scope module, "structural" "structuralMultiplexer" 2 27, 3 19, S_0x21d0510; .timescale -9 -12; -L_0x1c27050/d .functor NOT 1, v0x1c262c0_0, C4<0>, C4<0>, C4<0>; -L_0x1c27050 .delay (50000,50000,50000) L_0x1c27050/d; -L_0x1c270b0/d .functor AND 1, v0x1c26460_0, L_0x1c27050, C4<1>, C4<1>; -L_0x1c270b0 .delay (50000,50000,50000) L_0x1c270b0/d; -L_0x1c27150/d .functor NOT 1, v0x1c26390_0, C4<0>, C4<0>, C4<0>; -L_0x1c27150 .delay (50000,50000,50000) L_0x1c27150/d; -L_0x1c271f0/d .functor AND 1, L_0x1c27150, L_0x1c270b0, C4<1>, C4<1>; -L_0x1c271f0 .delay (50000,50000,50000) L_0x1c271f0/d; -L_0x1c27290/d .functor AND 1, v0x1c26530_0, v0x1c262c0_0, C4<1>, C4<1>; -L_0x1c27290 .delay (50000,50000,50000) L_0x1c27290/d; -L_0x1c27330/d .functor AND 1, L_0x1c27290, L_0x1c27150, C4<1>, C4<1>; -L_0x1c27330 .delay (50000,50000,50000) L_0x1c27330/d; -L_0x1c274b0/d .functor AND 1, v0x1c26600_0, L_0x1c27050, C4<1>, C4<1>; -L_0x1c274b0 .delay (50000,50000,50000) L_0x1c274b0/d; -L_0x1c27570/d .functor AND 1, L_0x1c274b0, v0x1c26390_0, C4<1>, C4<1>; -L_0x1c27570 .delay (50000,50000,50000) L_0x1c27570/d; -L_0x1c27680/d .functor AND 1, v0x1c266d0_0, v0x1c262c0_0, C4<1>, C4<1>; -L_0x1c27680 .delay (50000,50000,50000) L_0x1c27680/d; -L_0x1c27750/d .functor AND 1, L_0x1c27680, v0x1c26390_0, C4<1>, C4<1>; -L_0x1c27750 .delay (50000,50000,50000) L_0x1c27750/d; -L_0x1c278f0/d .functor OR 1, L_0x1c271f0, L_0x1c27330, L_0x1c27570, L_0x1c27750; -L_0x1c278f0 .delay (50000,50000,50000) L_0x1c278f0/d; -v0x1bf9670_0 .net "I0A0", 0 0, L_0x1c270b0; 1 drivers -v0x1c24fa0_0 .net "I0A1", 0 0, L_0x1c271f0; 1 drivers -v0x1c25040_0 .net "I1A0", 0 0, L_0x1c27290; 1 drivers -v0x1c250e0_0 .net "I1A1", 0 0, L_0x1c27330; 1 drivers -v0x1c25190_0 .net "I2A0", 0 0, L_0x1c274b0; 1 drivers -v0x1c25230_0 .net "I2A1", 0 0, L_0x1c27570; 1 drivers -v0x1c25310_0 .net "I3A0", 0 0, L_0x1c27680; 1 drivers -v0x1c253b0_0 .net "I3A1", 0 0, L_0x1c27750; 1 drivers -v0x1c254a0_0 .alias "address0", 0 0, v0x1c25d50_0; -v0x1c25540_0 .alias "address1", 0 0, v0x1c25dd0_0; -v0x1c25640_0 .alias "in0", 0 0, v0x1c25e80_0; -v0x1c256e0_0 .alias "in1", 0 0, v0x1c25f60_0; -v0x1c257f0_0 .alias "in2", 0 0, v0x1c26010_0; -v0x1c25890_0 .alias "in3", 0 0, v0x1c26090_0; -v0x1c259b0_0 .net "naddress0", 0 0, L_0x1c27050; 1 drivers -v0x1c25a50_0 .net "naddress1", 0 0, L_0x1c27150; 1 drivers -v0x1c25910_0 .alias "structuralOut", 0 0, v0x1c268f0_0; - .scope S_0x1bf4970; +L_0x21ff1b0/d .functor NOT 1, v0x21fe420_0, C4<0>, C4<0>, C4<0>; +L_0x21ff1b0 .delay (50000,50000,50000) L_0x21ff1b0/d; +L_0x21ff210/d .functor AND 1, v0x21fe5c0_0, L_0x21ff1b0, C4<1>, C4<1>; +L_0x21ff210 .delay (50000,50000,50000) L_0x21ff210/d; +L_0x21ff2b0/d .functor NOT 1, v0x21fe4f0_0, C4<0>, C4<0>, C4<0>; +L_0x21ff2b0 .delay (50000,50000,50000) L_0x21ff2b0/d; +L_0x21ff350/d .functor AND 1, L_0x21ff2b0, L_0x21ff210, C4<1>, C4<1>; +L_0x21ff350 .delay (50000,50000,50000) L_0x21ff350/d; +L_0x21ff3f0/d .functor AND 1, v0x21fe690_0, v0x21fe420_0, C4<1>, C4<1>; +L_0x21ff3f0 .delay (50000,50000,50000) L_0x21ff3f0/d; +L_0x21ff490/d .functor AND 1, L_0x21ff3f0, L_0x21ff2b0, C4<1>, C4<1>; +L_0x21ff490 .delay (50000,50000,50000) L_0x21ff490/d; +L_0x21ff610/d .functor AND 1, v0x21fe760_0, L_0x21ff1b0, C4<1>, C4<1>; +L_0x21ff610 .delay (50000,50000,50000) L_0x21ff610/d; +L_0x21ff6d0/d .functor AND 1, L_0x21ff610, v0x21fe4f0_0, C4<1>, C4<1>; +L_0x21ff6d0 .delay (50000,50000,50000) L_0x21ff6d0/d; +L_0x21ff7e0/d .functor AND 1, v0x21fe830_0, v0x21fe420_0, C4<1>, C4<1>; +L_0x21ff7e0 .delay (50000,50000,50000) L_0x21ff7e0/d; +L_0x21ff8b0/d .functor AND 1, L_0x21ff7e0, v0x21fe4f0_0, C4<1>, C4<1>; +L_0x21ff8b0 .delay (50000,50000,50000) L_0x21ff8b0/d; +L_0x21ffa50/d .functor OR 1, L_0x21ff350, L_0x21ff490, L_0x21ff6d0, L_0x21ff8b0; +L_0x21ffa50 .delay (50000,50000,50000) L_0x21ffa50/d; +v0x21d8670_0 .net "I0A0", 0 0, L_0x21ff210; 1 drivers +v0x21fd100_0 .net "I0A1", 0 0, L_0x21ff350; 1 drivers +v0x21fd1a0_0 .net "I1A0", 0 0, L_0x21ff3f0; 1 drivers +v0x21fd240_0 .net "I1A1", 0 0, L_0x21ff490; 1 drivers +v0x21fd2f0_0 .net "I2A0", 0 0, L_0x21ff610; 1 drivers +v0x21fd390_0 .net "I2A1", 0 0, L_0x21ff6d0; 1 drivers +v0x21fd470_0 .net "I3A0", 0 0, L_0x21ff7e0; 1 drivers +v0x21fd510_0 .net "I3A1", 0 0, L_0x21ff8b0; 1 drivers +v0x21fd600_0 .alias "address0", 0 0, v0x21fdeb0_0; +v0x21fd6a0_0 .alias "address1", 0 0, v0x21fdf30_0; +v0x21fd7a0_0 .alias "in0", 0 0, v0x21fdfe0_0; +v0x21fd840_0 .alias "in1", 0 0, v0x21fe0c0_0; +v0x21fd950_0 .alias "in2", 0 0, v0x21fe170_0; +v0x21fd9f0_0 .alias "in3", 0 0, v0x21fe1f0_0; +v0x21fdb10_0 .net "naddress0", 0 0, L_0x21ff1b0; 1 drivers +v0x21fdbb0_0 .net "naddress1", 0 0, L_0x21ff2b0; 1 drivers +v0x21fda70_0 .alias "structuralOut", 0 0, v0x21fea50_0; + .scope S_0x21d0510; T_0 ; %vpi_call 2 31 "$dumpfile", "multiplexer.vcd"; %vpi_call 2 32 "$dumpvars"; %vpi_call 2 34 "$display", "Behavioral Multiplexer"; %vpi_call 2 35 "$display", "A0 A1| I3 I2 I1 I0 | Output | Expected Output"; - %set/v v0x1c262c0_0, 0, 1; - %set/v v0x1c26390_0, 0, 1; - %set/v v0x1c26460_0, 1, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 0, 1; + %set/v v0x21fe420_0, 0, 1; + %set/v v0x21fe4f0_0, 0, 1; + %set/v v0x21fe5c0_0, 1, 1; + %set/v v0x21fe690_0, 0, 1; + %set/v v0x21fe760_0, 0, 1; + %set/v v0x21fe830_0, 0, 1; %delay 1000000, 0; - %vpi_call 2 37 "$display", "%b %b | %b %b %b %b | %b | I0", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; - %set/v v0x1c262c0_0, 1, 1; - %set/v v0x1c26390_0, 0, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 1, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 0, 1; + %vpi_call 2 37 "$display", "%b %b | %b %b %b %b | %b | I0", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fe9d0_0; + %set/v v0x21fe420_0, 1, 1; + %set/v v0x21fe4f0_0, 0, 1; + %set/v v0x21fe5c0_0, 0, 1; + %set/v v0x21fe690_0, 1, 1; + %set/v v0x21fe760_0, 0, 1; + %set/v v0x21fe830_0, 0, 1; %delay 1000000, 0; - %vpi_call 2 39 "$display", "%b %b | %b %b %b %b | %b | I1", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; - %set/v v0x1c262c0_0, 0, 1; - %set/v v0x1c26390_0, 1, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 1, 1; - %set/v v0x1c266d0_0, 0, 1; + %vpi_call 2 39 "$display", "%b %b | %b %b %b %b | %b | I1", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fe9d0_0; + %set/v v0x21fe420_0, 0, 1; + %set/v v0x21fe4f0_0, 1, 1; + %set/v v0x21fe5c0_0, 0, 1; + %set/v v0x21fe690_0, 0, 1; + %set/v v0x21fe760_0, 1, 1; + %set/v v0x21fe830_0, 0, 1; %delay 1000000, 0; - %vpi_call 2 41 "$display", "%b %b | %b %b %b %b | %b | I2", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; - %set/v v0x1c262c0_0, 1, 1; - %set/v v0x1c26390_0, 1, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 1, 1; + %vpi_call 2 41 "$display", "%b %b | %b %b %b %b | %b | I2", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fe9d0_0; + %set/v v0x21fe420_0, 1, 1; + %set/v v0x21fe4f0_0, 1, 1; + %set/v v0x21fe5c0_0, 0, 1; + %set/v v0x21fe690_0, 0, 1; + %set/v v0x21fe760_0, 0, 1; + %set/v v0x21fe830_0, 1, 1; %delay 1000000, 0; - %vpi_call 2 43 "$display", "%b %b | %b %b %b %b | %b | I3", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c26870_0; + %vpi_call 2 43 "$display", "%b %b | %b %b %b %b | %b | I3", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fe9d0_0; %vpi_call 2 45 "$display", "Structural Multiplexer"; %vpi_call 2 46 "$display", "A0 A1| I3 I2 I1 I0 | Output | Expected Output"; - %set/v v0x1c262c0_0, 0, 1; - %set/v v0x1c26390_0, 0, 1; - %set/v v0x1c26460_0, 1, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 0, 1; + %set/v v0x21fe420_0, 0, 1; + %set/v v0x21fe4f0_0, 0, 1; + %set/v v0x21fe5c0_0, 1, 1; + %set/v v0x21fe690_0, 0, 1; + %set/v v0x21fe760_0, 0, 1; + %set/v v0x21fe830_0, 0, 1; %delay 1000000, 0; - %vpi_call 2 48 "$display", "%b %b | %b %b %b %b | %b | I0", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; - %set/v v0x1c262c0_0, 0, 1; - %set/v v0x1c26390_0, 0, 1; - %set/v v0x1c26460_0, 2, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 0, 1; + %vpi_call 2 48 "$display", "%b %b | %b %b %b %b | %b | I0", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fea50_0; + %set/v v0x21fe420_0, 1, 1; + %set/v v0x21fe4f0_0, 0, 1; + %set/v v0x21fe5c0_0, 0, 1; + %set/v v0x21fe690_0, 1, 1; + %set/v v0x21fe760_0, 0, 1; + %set/v v0x21fe830_0, 0, 1; %delay 1000000, 0; - %vpi_call 2 50 "$display", "%b %b | %b %b %b %b | %b | I0", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; - %set/v v0x1c262c0_0, 1, 1; - %set/v v0x1c26390_0, 0, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 1, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 0, 1; + %vpi_call 2 53 "$display", "%b %b | %b %b %b %b | %b | I1", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fea50_0; + %set/v v0x21fe420_0, 0, 1; + %set/v v0x21fe4f0_0, 1, 1; + %set/v v0x21fe5c0_0, 0, 1; + %set/v v0x21fe690_0, 0, 1; + %set/v v0x21fe760_0, 1, 1; + %set/v v0x21fe830_0, 0, 1; %delay 1000000, 0; - %vpi_call 2 53 "$display", "%b %b | %b %b %b %b | %b | I1", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; - %set/v v0x1c262c0_0, 1, 1; - %set/v v0x1c26390_0, 0, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 2, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 0, 1; + %vpi_call 2 58 "$display", "%b %b | %b %b %b %b | %b | I2", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fea50_0; + %set/v v0x21fe420_0, 1, 1; + %set/v v0x21fe4f0_0, 1, 1; + %set/v v0x21fe5c0_0, 0, 1; + %set/v v0x21fe690_0, 0, 1; + %set/v v0x21fe760_0, 0, 1; + %set/v v0x21fe830_0, 1, 1; %delay 1000000, 0; - %vpi_call 2 55 "$display", "%b %b | %b %b %b %b | %b | I1", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; - %set/v v0x1c262c0_0, 0, 1; - %set/v v0x1c26390_0, 1, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 1, 1; - %set/v v0x1c266d0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 2 58 "$display", "%b %b | %b %b %b %b | %b | I2", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; - %set/v v0x1c262c0_0, 0, 1; - %set/v v0x1c26390_0, 1, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 2, 1; - %set/v v0x1c266d0_0, 0, 1; - %delay 1000000, 0; - %vpi_call 2 60 "$display", "%b %b | %b %b %b %b | %b | I2", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; - %set/v v0x1c262c0_0, 1, 1; - %set/v v0x1c26390_0, 1, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 1, 1; - %delay 1000000, 0; - %vpi_call 2 63 "$display", "%b %b | %b %b %b %b | %b | I3", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; - %set/v v0x1c262c0_0, 1, 1; - %set/v v0x1c26390_0, 1, 1; - %set/v v0x1c26460_0, 0, 1; - %set/v v0x1c26530_0, 0, 1; - %set/v v0x1c26600_0, 0, 1; - %set/v v0x1c266d0_0, 2, 1; - %delay 1000000, 0; - %vpi_call 2 65 "$display", "%b %b | %b %b %b %b | %b | I3", v0x1c26390_0, v0x1c262c0_0, v0x1c266d0_0, v0x1c26600_0, v0x1c26530_0, v0x1c26460_0, v0x1c268f0_0; + %vpi_call 2 63 "$display", "%b %b | %b %b %b %b | %b | I3", v0x21fe4f0_0, v0x21fe420_0, v0x21fe830_0, v0x21fe760_0, v0x21fe690_0, v0x21fe5c0_0, v0x21fea50_0; %end; .thread T_0; # The file index is used to find the file name in the following table. diff --git a/multiplexer.t.v b/multiplexer.t.v index 8badd1b..3aab091 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -46,23 +46,23 @@ module testMultiplexer (); $display("A0 A1| I3 I2 I1 I0 | Output | Expected Output"); address0=0; address1 = 0; in0=1; in1 = 0; in2 = 0; in3 = 0; #1000 $display("%b %b | %b %b %b %b | %b | I0", address1, address0, in3, in2, in1, in0, structuralOut); - address0=0; address1 = 0; in0=1'bX; in1 = 0; in2 = 0; in3 = 0; #1000 - $display("%b %b | %b %b %b %b | %b | I0", address1, address0, in3, in2, in1, in0, structuralOut); + //address0=0; address1 = 0; in0=1'bX; in1 = 0; in2 = 0; in3 = 0; #1000 + //$display("%b %b | %b %b %b %b | %b | I0", address1, address0, in3, in2, in1, in0, structuralOut); address0=1; address1 = 0; in0=0; in1 = 1; in2 = 0; in3 = 0; #1000 $display("%b %b | %b %b %b %b | %b | I1", address1, address0, in3, in2, in1, in0, structuralOut); - address0=1; address1 = 0; in0=0; in1 = 1'bX; in2 = 0; in3 = 0; #1000 - $display("%b %b | %b %b %b %b | %b | I1", address1, address0, in3, in2, in1, in0, structuralOut); + //address0=1; address1 = 0; in0=0; in1 = 1'bX; in2 = 0; in3 = 0; #1000 + //$display("%b %b | %b %b %b %b | %b | I1", address1, address0, in3, in2, in1, in0, structuralOut); address0=0; address1 = 1; in0=0; in1 = 0; in2 = 1; in3 = 0; #1000 $display("%b %b | %b %b %b %b | %b | I2", address1, address0, in3, in2, in1, in0, structuralOut); - address0=0; address1 = 1; in0=0; in1 = 0; in2 = 1'bX; in3 = 0; #1000 - $display("%b %b | %b %b %b %b | %b | I2", address1, address0, in3, in2, in1, in0, structuralOut); + //address0=0; address1 = 1; in0=0; in1 = 0; in2 = 1'bX; in3 = 0; #1000 + //$display("%b %b | %b %b %b %b | %b | I2", address1, address0, in3, in2, in1, in0, structuralOut); address0=1; address1 = 1; in0=0; in1 = 0; in2 = 0; in3 = 1; #1000 $display("%b %b | %b %b %b %b | %b | I3", address1, address0, in3, in2, in1, in0, structuralOut); - address0=1; address1 = 1; in0=0; in1 = 0; in2 = 0; in3 = 1'bX; #1000 - $display("%b %b | %b %b %b %b | %b | I3", address1, address0, in3, in2, in1, in0, structuralOut); + //address0=1; address1 = 1; in0=0; in1 = 0; in2 = 0; in3 = 1'bX; #1000 + //$display("%b %b | %b %b %b %b | %b | I3", address1, address0, in3, in2, in1, in0, structuralOut); end endmodule diff --git a/multiplexer.vcd b/multiplexer.vcd index d583b5a..bd66e1d 100644 --- a/multiplexer.vcd +++ b/multiplexer.vcd @@ -1,5 +1,5 @@ $date - Thu Sep 21 19:54:33 2017 + Thu Sep 21 20:14:10 2017 $end $version Icarus Verilog @@ -188,18 +188,6 @@ b0 + #4200000 1$ #5000000 -x' -b0x " -x. -x# -b0x 2 -#5050000 -x3 -#5100000 -x4 -#5150000 -x$ -#6000000 1( 1/ 0' @@ -211,28 +199,14 @@ b1 ! 1, 1# b1 + -#6050000 +#5050000 03 0; 15 -#6100000 +#5100000 04 16 -#6150000 -1$ -#7000000 -x( -b0x0 " -x/ -x# -b0x0 2 -#7050000 -x5 -#7100000 -x6 -#7150000 -x$ -#8000000 +#6000000 1) 10 0( @@ -246,31 +220,19 @@ b10 ! 0, 1# b10 + -#8050000 +#6050000 0< 1; 05 -#8100000 +#6100000 17 06 -#8150000 +#6150000 18 0$ -#8200000 +#6200000 1$ -#9000000 -x) -b0x00 " -x0 -x# -b0x00 2 -#9050000 -x7 -#9100000 -x8 -#9150000 -x$ -#10000000 +#7000000 1* 11 0) @@ -282,25 +244,11 @@ b11 ! 1, 1# b11 + -#10050000 +#7050000 07 0; 19 -#10100000 +#7100000 08 1: -#10150000 -1$ -#11000000 -x* -bx000 " -x1 -x# -bx000 2 -#11050000 -x9 -#11100000 -x: -#11150000 -x$ -#12000000 +#8000000