From 6bcd1a61218a852a47c647e8495369b952f7fb5a Mon Sep 17 00:00:00 2001 From: Tobias Shapinsky Date: Wed, 18 Oct 2017 15:40:42 -0400 Subject: [PATCH 1/5] completed technical portion of HW --- mux.v | 54 +++++++++++++++++++++++++++++++++++++++++++++++++++++ regfile.t.v | 3 ++- regfile.v | 29 ++++++++++++++++++++++++---- register.v | 32 ++++++++++++++++++++++++++++++- 4 files changed, 112 insertions(+), 6 deletions(-) create mode 100644 mux.v diff --git a/mux.v b/mux.v new file mode 100644 index 0000000..58d0b59 --- /dev/null +++ b/mux.v @@ -0,0 +1,54 @@ +module mux32to1by1 + ( + output out, + input [4:0] address, + input [31:0] inputs + ); + assign out = inputs[address]; + +endmodule + +module mux32to1by32 + ( + output [31:0] out, + input [4:0] address, + input [31:0] input0, input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31 + ); + + wire [31:0] mux[31:0]; // Create a 2D array of wires + assign mux[0] = input0; // Connect the sources of the array + assign mux[1] = input1; + assign mux[2] = input2; + assign mux[3] = input3; + assign mux[4] = input4; + assign mux[5] = input5; + assign mux[6] = input6; + assign mux[7] = input7; + assign mux[8] = input8; + assign mux[9] = input9; + assign mux[10] = input10; + assign mux[11] = input11; + assign mux[12] = input12; + assign mux[13] = input13; + assign mux[14] = input14; + assign mux[15] = input15; + assign mux[16] = input16; + assign mux[17] = input17; + assign mux[18] = input18; + assign mux[19] = input19; + assign mux[20] = input20; + assign mux[21] = input21; + assign mux[22] = input22; + assign mux[23] = input23; + assign mux[24] = input24; + assign mux[25] = input25; + assign mux[26] = input26; + assign mux[27] = input27; + assign mux[28] = input28; + assign mux[29] = input29; + assign mux[30] = input30; + assign mux[31] = input31; + assign out = mux[address]; // Connect the output of the array + //assign out = inputs[address]; + +endmodule diff --git a/regfile.t.v b/regfile.t.v index f13815a..72786e0 100644 --- a/regfile.t.v +++ b/regfile.t.v @@ -1,3 +1,4 @@ +`include "regfile.v" //------------------------------------------------------------------------------ // Test harness validates hw4testbench by connecting it to various functional // or broken register files, and verifying that it correctly identifies each @@ -145,4 +146,4 @@ output reg Clk end -endmodule \ No newline at end of file +endmodule diff --git a/regfile.v b/regfile.v index b8a3c74..378ba93 100644 --- a/regfile.v +++ b/regfile.v @@ -1,3 +1,5 @@ +`include "register.v" +`include "mux.v" //------------------------------------------------------------------------------ // MIPS register file // width: 32 bits @@ -17,11 +19,30 @@ input[4:0] WriteRegister, // Address of register to write input RegWrite, // Enable writing of register when High input Clk // Clock (Positive Edge Triggered) ); - + wire [31:0] d [31:0]; + + genvar i; + generate + register32zero zeroreg(d[0], WriteData, 0, Clk); + wire [31:0] expaddr; + assign expaddr = 1 << WriteRegister; + + for(i = 1; i < (1<<5); i= i + 1) begin: registers + wire select, wrenable; + wire [4:0] addr ; + assign addr = i; + mux32to1by1 muxselect(select, addr, expaddr); + assign wrenable = select & RegWrite; + register32 regist(d[i], WriteData, wrenable,Clk); + end + endgenerate + mux32to1by32 read1(ReadData1, ReadRegister1, d[0], d[1], d[2], d[3], d[4], d[5], d[6], d[7], d[8], d[9], d[10], d[11], d[12], d[13], d[14], d[15], d[16], d[17], d[18], d[19], d[20], d[21], d[22], d[23], d[24], d[25], d[26], d[27], d[28], d[29], d[30], d[31]); + mux32to1by32 read2(ReadData2, ReadRegister2, d[0], d[1], d[2], d[3], d[4], d[5], d[6], d[7], d[8], d[9], d[10], d[11], d[12], d[13], d[14], d[15], d[16], d[17], d[18], d[19], d[20], d[21], d[22], d[23], d[24], d[25], d[26], d[27], d[28], d[29], d[30], d[31]); + // These two lines are clearly wrong. They are included to showcase how the // test harness works. Delete them after you understand the testing process, // and replace them with your actual code. - assign ReadData1 = 42; - assign ReadData2 = 42; + //assign ReadData1 = 42; + //assign ReadData2 = 42; -endmodule \ No newline at end of file +endmodule diff --git a/register.v b/register.v index dc9b8cb..859a675 100644 --- a/register.v +++ b/register.v @@ -14,4 +14,34 @@ input clk end end -endmodule \ No newline at end of file +endmodule + +// Variable width D Flip-Flop with enable +// Positive edge triggered + +module register32 #( parameter W = 32) +( +output reg [W-1:0] q, +input [W-1:0] d, +input wrenable, +input clk +); + + always @(posedge clk) begin + if(wrenable) begin + q = d; + end + end +endmodule + +module register32zero #( parameter W = 32) +( + output reg [W-1:0] q, + input [W-1:0] d, + input wrenable, + input clk + ); + always@( clk )begin + assign q = 1'b0; + end +endmodule From 6206c64fa7024806989c6a733ecb92a73c320a8f Mon Sep 17 00:00:00 2001 From: Tobias Shapinsky Date: Thu, 19 Oct 2017 14:31:26 -0400 Subject: [PATCH 2/5] added test cases --- regfile.t.v | 71 +++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 71 insertions(+) diff --git a/regfile.t.v b/regfile.t.v index 72786e0..fdf9962 100644 --- a/regfile.t.v +++ b/regfile.t.v @@ -139,6 +139,77 @@ output reg Clk $display("Test Case 2 Failed"); end + // Test Case 3: + // Write 10 to register 2, Write '5' to register 2 with write enable low, verify value is still 10 + WriteRegister = 5'd2; + WriteData = 32'd10; + RegWrite = 1; + #5 Clk=1; #5 Clk=0; + ReadRegister1 = 5'd2; + RegWrite = 0; + WriteData = 32'd5; + #5 Clk=1; #5 Clk=0; + + if(ReadData1 != 10) begin + dutpassed = 0; + $display("Test Case 3 Failed"); + end + + // Test Case 4: + // Write 10 to register 2, Write '5' to register 3, verify value is still 10 + WriteRegister = 5'd2; + WriteData = 32'd10; + RegWrite = 1; + #5 Clk=1; #5 Clk=0; + WriteRegister = 5'd3; + ReadRegister1 = 5'd2; + WriteData = 32'd5; + #5 Clk=1; #5 Clk=0; + + if(ReadData1 != 10) begin + dutpassed = 0; + $display("Test Case 4 Failed"); + end + + // Test Case 5: + // Write 10 to register 0, verify value is still 0 + WriteRegister = 5'd0; + WriteData = 32'd10; + RegWrite = 1; + ReadRegister1 = 5'd0; + #5 Clk=1; #5 Clk=0; + + if(ReadData1 != 0) begin + dutpassed = 0; + $display("Test Case 5 Failed"); + end + + // Test Case 6: + // Write 10 to register 2, verify with both reads, Write '5' to register 3, verify with both reads + WriteRegister = 5'd2; + WriteData = 32'd10; + RegWrite = 1; + ReadRegister1 = 5'd2; + ReadRegister2 = 5'd2; + #5 Clk=1; #5 Clk=0; + + if(ReadData1 != ReadData2) begin + dutpassed = 0; + $display("Test Case 6 Failed"); + end + + WriteRegister = 5'd3; + ReadRegister1 = 5'd3; + ReadRegister2 = 5'd3; + RegWrite = 1; + WriteData = 32'd5; + #5 Clk=1; #5 Clk=0; + + if(ReadData1 != ReadData2) begin + dutpassed = 0; + $display("Test Case 6 Failed"); + end + // All done! Wait a moment and signal test completion. #5 From abf91c2c939bc28d0118326b9940d6e2b059a600 Mon Sep 17 00:00:00 2001 From: TShapinsky Date: Thu, 19 Oct 2017 14:58:38 -0400 Subject: [PATCH 3/5] Create Writeup.md --- Writeup.md | 8 ++++++++ 1 file changed, 8 insertions(+) create mode 100644 Writeup.md diff --git a/Writeup.md b/Writeup.md new file mode 100644 index 0000000..aaf6d88 --- /dev/null +++ b/Writeup.md @@ -0,0 +1,8 @@ +# Writeup HW 4 +## Deliverable 1 + +## Deliverable 6 +```verilog + assign out = enable< Date: Thu, 19 Oct 2017 17:27:06 -0400 Subject: [PATCH 4/5] added diagrams --- diagrams.jpg | Bin 0 -> 136589 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 diagrams.jpg diff --git a/diagrams.jpg b/diagrams.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d2f0e334abae86d874ad61bced05984fc3c252ec GIT binary patch literal 136589 zcmeFa2UrtL*FU_W_YNXRks?S3Y0^TKCW2I@-Vh>0O6WzwSW$zBpdx~b0)m2oC`}O} zUByO`4xuQDh=BB#e6vB(yS&fazW?*TuJ3b6cF&wSbLPyMvb(<-Cgd*i5Z8H=D9ix} zva*6?AP8cBSP&3I4JZU%HaH>HX@*olQ&DI*?goNxoexm~KG$~|Bt-Cjr-2kg0HTHU zfNwTJaD%>wkp3mDGOPg-1K$#a3WeqYbjN87L<6pH+ArWD0qlbRgijuYASsR?R|9XX z7h2TD7aN8R^~DB>8X1YIC@E`*N;_c!y|Iy@+aWlprL3f-yi*hqDk@q#b^w(S%>@Xe zhp$R1D#|y%ml4kGCe@F8Y4mSW|DdVk;6&h{Lr{OWo$lB2AwqtZ4{_+%@=+mv=s+B& z+R)7jmkmD5z!xqfa40ypO_i7VD0XhZUq(+EARGbKEP6W9h6q1Qtk3a$|X%UnI7z`iOpw-aO($O<8GBG3m8i|0Y zsDDIqKnNNHH5CmNEj=9rEj7yy5XnhRvz1Gk)?lwEw^;Bo6*``zTg8Ur61=uGUOQAn zj<*=mOD5Y*4Nap$)%Y?WoY-c3(casnHY-ehQ>();`rE^kb#37zGrOcNWuGd!T;KkF z_I7E*yAN}Y2O{E9a>^PzM&~t5oqQrsU&$?R>ioFC2~kl2YiTGp(bLhYQB2sX%tZq% z2o~d}RXLW#16xpI+cLF7!fPnxc(RclFDg`ZT9OVnfPR}=Z7VP$Ym>K$gL)Wxc*BJM z*aE4aCXjm|7HUeKoDdS4Grpv|W992g(}GkNE|z$DK_bUo`U|ppvTo(#p+GWZHd#l8 z#FDRO-x{l26DP2*;@#5;t=dy$=vj6SMtTC41On+Oz$7J-OeA+$K8|a`Wk;@wd>38k znj4`AvBX*2x9#)V3;krsl%iE4tC(0lxr|)mL0UDurW(0JZ&i6R>hKY~#qQJ!h+Xso zO?2L9{D82@N~BVWP=SQz>+bo7-R_f_7n=M|n50y{N}4?irJQE%jqs0bHMhESVV;}B z3+KW}moZz293?~VZEcM&scWx^SHl6jFOid#U$MYUB>C-}eBs){5s@XHUJ+6fF%%@4 zuUvT3hkPU*IVn;hak~tpB7A~VO+-#+z@@w)w9@pI(TEVWRwF52LYiJhe%lU9t?LF- zA{COQWT%t@W=2L!N>MU55H3CS-tB&% z+#fw{jpvs=Ec>gF-2+E1zX_fmAw#-f401P5`nmU-$sfMfihjLp8gvEuNl*7n&v83} z2X7XG^jJh1p6w}^e9+jBa_yjdY$-?#Tazc69=!g#I_*{Bqx;(aP)6K}Kw9#GOEkM* zvwHE=z)tdSx%vEl(uK7e`4n6>GRVBL*?^71I1x+oz9F|ZL;_d52)Shr)WQ+Q zA!60yws>R|E}aZrm6>dK7MeSuz{=LwF)^dp6d+G@f8%B0@26a0eR8Yn`|4)>!vg#h znfuHW3UGQ^xLC$6r%CnrzJLi)Rh<2o)qXpNdE(wd{|t9|F|+RCw3W5qGBVT#%sGI& zT}0}QB&ArCyZgstL(P3LeH|Bc+q$aA(BpJz??V#p%zbLCo-Dy_yp&JsFjI6kdd zUJpHy${5vN+)CG|9r%h2y;ysHsT)`uOPsI(MOna=8U=bRJCmV_hh*rso%Te6;4yUZ z(y`ko$&kbA!#&B#CK*rkCRd+JKU_GyL|95rz=mHgYt-a>La#cZBZP|vT0pZ+!+kT~ zzSn0`W0klr3i{90ZSlBh!f3{7+FmS(Xd^=x%^8XYo;Iy*>llk@kzg(4E|2&a(3jc# znXhZa4~l3N>PmRf$sQsda8xtU_o~E%xZPTboJ^T*lVezEL3EqJ1hyq`5<72ei7d6_ z9&gu^U|(70b(*+asXH|PC;^Ik^tC@fZd5Jfae;^p;{{YrE*X-@N3wlXJ~!uVa_C_w z+vr$%sE6C-okcyiwtl{IS0D5zdVVPMPF%jt)SNc{Jk(iW`cb_wZTR?XGVdrPI3ITf z@w_NQSD5=j`mSkI*Mdv_+DSHQ@xX;6zH9F@T$4z92=Te&7G`0^^VJ4Apt!brQO0kwuMO@;M>coWh-@0FN{dhB^ z%*nDWl9qf$xKKCXUca5Qs%MnA^-8e#;Xd`U&*ef0sFJjr!Bx56jyfNG*jb?CxddW0 zJ7BWEB%q(0D{3X#&Y`lpv9DfIoI$y|Q4ceN!ob$(_@@4MaoL6E^>Kl%S0LwrhDw_B4FOdA4Nd}1C>cp0JT;=ZjT1U&wXjT%D^F2)H601SA&D+Odo6I8TqIx>9Sz+7_M9OvgnjY(u*#w={b!nSl=IN_tn>Tx^l*YZ^` zWL+?~e6?<$>V^WlS2w69!R;l7*pFQT1FC2;UpiquS#)`&%Ra~O@d5Asi zMCA-YqwmJ!B23@#mJz}8!t~qv_ghvcErs(Zn{r!}x8Y5lYD_G_+pXx_4%oqxhfhOF-C zH$5vYHoJ!nEeNJvPHfe-&Kga&?NIQgdU8OCeIQEMBej`ltgLg=udCy6c|ed~d|ZJa zDW&nqvJWvlK=DCYY1WlZV`RuS>-@OJK*T|RE~yh^@7^b0)QExt1aPusNO_Od^)!la zOsex;1TCL5pCp;ccO3aRoSZ>!pA+gh0&c$AK+ZCpP=SP9XHyVpcg@YNPVzqgAVWWU z&qUkG>jPxSXH=RDUE0k(cIF~g^l7zQ1Cs+ivo;yw8I)9B(YUXPRa8yy*-dhLTqnx- z>aTB^^aca4%-3ghjsEH>TGY1--m9&6tmb@OZNGHGV}*b>CM@81xB~+}t!SG~&mm`M zs$Bb#PS~|aph84%A6im4+z?h0CzPEo`cjSfsek2p!`0vd)(pCC!En_6FNA{uwrkzR$cUBM8aNVvZ z&U(xqr<3nwIjG+h<{x7PqmJ6NSIBpw=Tc3_mWaCcc1XSZXG894!-b+X?MG*1+ibX%I}CyWHeloGC% z))35}Z%+>il&3-Mr!m{%LAq>Ev~5%sVVU*rz8*^7)t#mIP4_H#ba2MV`J((HI?hlz zhly;udH;js$BC?<(B@-`)Tt9+&3Av3c`)?l@aHhT)2by2s4?YM;wzg5;q)SufEgd_ zHq>nRk(Enpu7s8I;v^;9dpn2m@^^>xweLj?cGG|nSumHxDj~`KK<(a}C^4jr3z2kg zZGsFvm*}iGY86OxUKP+%eUp9g6KJwIj{I)6xzVy`a`#R`Run7k%}MduKw{;Lo#Sdw zHzsyZcwksN!uuFi1QNG0Y z%kFoIK4rdpTo%B)&s^fsYq?}=g)rSs(Q8fMQBtBjqd#(JZA?-q@yP?(f>&V)=002+ zM?wi|OvULg4BFR*U1)0xj|%WlPL);;j4o%G?;pSggQxDC^-FfnDW~`L3-p>31H+?q ztZkLSBIZOZf`P!3rn0iK7X`(GMmKuS(2(Z4Lmqw>>5J?`Rw>$(Mo1vbt%Wj&rw``O zmZsdN+ULwT|3!Ww#?A#l;*)P*HaHl$|Es^>M3*peU|v?Qu(-5shOH1P78wO8~X`X&p#JFcHZoUz8Ry|=3nvVQP1{Cl4bS#axx@I46$&WSvkLo ztTNU~e2D`OwaM0>aa)NY?nU2(z{>;cKqvRI6MMfliVSVbcHNWiu2YXYgmYR_Sh=`- zV!>^JCuz6CxNB5jCt^`GyC|PoPT{b=-~4A+^&1V9 z;btCxM6rAJEO4|U-Wrz&AuT^W(!JvRw6*6|#+WGIb^1}&6P2qUsDs%Qcyyjn9j>o$ z5{!Kv*nX+8-2J0qPwg8!w;~!XNGzw)+NW|hqu16?j)oB0v!ujuU1L+-dE$qF`Ry*T znUC@wd<@ve5Q$71Ku$hCHUBj;9Y<1Kw!xI7#GXC)5=?dr}^eHylmtRhSYgn}o{l6l?v;&*Yn$LdR}S>nbgv2)3W z9uC!PWC(PO5bXY=M~#kYv18in7o)ea2leVekx*x7P8Z4IXl!99bB|;~pBdW;PufbC zoQVVj^H@jV=1yD)Zf`XXxYVF*{FHUqs}6qNr$Jm{eM{KVd*{HO-{q8X(4)hmrd;nh#@P!c;2x1Nj z!32g`hld4)hk+mrO+hxHVRl|vtUpD;XG;Sa^`N*FhABWPxm;5C{wY4nU%i9fXF$ zpl~P%Rs}HyZAgOti;=nIh1rFl>miXP75k0*a9~ zJ~p90S_MqQ!r%&<4edrBSXc9xZ0!LS`A^x}q5RkRHX$he{jdhg!GQ|F208hnLFIxL ziV5`j;XXDv!WP(Mhz$$F2KZwGeZD7Q`4Iz~@C%>y2j3Rs%&u~K+&zZ9lOZvJzadWI5aq@2MCL20D`xrGm= z_0|ouDHhC8xMq;zfde4MADjWY3deru+`5{!!BL^`ox%Bsj2eXlxBTxMiq1t4hTxt> z{>Ov*6CC}IQRM$k9K{z5u7e^@n#x* zKrlew5C-yue88yT2?aoK>-*ky0QK|ug$JkGz^gYTei7K<{TwKuz;J(fJcGwpIxlQ^ zpm*qB`Y9?*8N^YBVYqQ`v>?FzOACYyH(Kht8MYe@ky0eOP=5>x9qQ=6n^KrReEA&>z8Cb5tgn7|MPQyRX24g=p% z3I#w{Fv;+*B}5@3@FxnXKuVA@qyZ~lM``E)39rqCp+=Awd5Gn+Z&+B6mZD-{sDdZF z-d6#I4N#2o3{q59P*Q|+kWoRNC_i+Vs23XGfVyInl>{+SjJK|slbV&1Rge+d7h`rP z1Z{U{k3H&;A8Mz!7*bDECrT?SASeJG<|!H#;2#*O6{RaiQLY7On5`%#N)ZY3(-otv z!4=(SWg}{Y4MB^lDX7b%ly_)~YV1@{R@B6F zq2fO@7^6c`AsEU$jwq}V%u$4g>52hM*NYGkWM#FX_#eF$5CE5pA{`oL5&;tbE8m8~ zaGs(qIusiofL`b05&AR6Sy4{;OT=_JQ#%b5fj}gzZL~5wZeL% zb;W?GKl$cHMqt|S0LC9ggn}tEQF9X`b>*Gv>hdZI$`qTlj6%==;RX{|Km=a%F0ZU4 zue{S9Uh}T3rK~2eq^hN)v@QxVz#NGFM^Sir{GUWYPw)nlVgH#h+@UCyVTK6>^JmfD zo4g%5_&eo~5v4RZEl(6Y4GD_j8G-f|`_9DtkE>%{X(X6B`&+SpEz7!CDE2^Dq-O}) zzy~y!AKlvKN8|kaKM(vc59R9_=z|6$ilUezD32oC_tuN1_}5ZX;(qC;Kg2=jhsljm zNAJhz@ca7P1HV1++XKHn@Y@5wJ@Ee{5Bz*@K?j0Ii%9TRLLO$>2Ojl2Y;3J}neR5C zylSzS?ZyORslZZ=0I-q@e)ik9-&vIYHCU>_2v&@MMH!x`&>$JCU0gVD*BWEU!pisK_OsC6~YEc@9+k1Ab>szX#dEtAecV|XdW*=n5Kf? z*?2;Lfq>?RX`gjkjv}*8>%+8nKp}|oCEE*lKXokh-7uxF=It;9q z0J4w~HYl31en3OMk&A!-8lYJfep$3nD`|>1vtNr7Z*|E_2nj_aH~dv zW*GBw5PWX#izt|yXi#~u3L4y}SQAg0qz=Ata-GSa1_|-%d6Zogs zt6wzy50m|(0VdbmH6XaNNf3{R0>tr#2cn*M3DIyfL)5M}Kn!A|-gYxO0(b?2oJ2mZ zw|hW?c*^-tC#pmcqzc7QmM}p^w)Uc^@Q{dg5gPbH3s&8+Lp;!CNEi}_q#=0#y=Xw% zkUnGrSwPm1J+vQkhfn~(2>@%&BB2=Q7!(J^Ll>aSP#Tm0WkYwNLg)ch4n2Wtphl<} zdJDaSdZ9tE%61%@g65$W@PEJb2v!6)VlzSnA&HPhs30^EdI%H5ZiFpjKjIIB4YjQ>Rd8QWsJ`rf#BcqaLLGLcK&oN5f4cN+VCBO=CvmK!c(Qra3}$jwX%f z4ow+NJxv?U5X}?~iI$ai3#}}z7Ogq$K3X5zNZL5s6xtlxQrZUEceEd9=jrI^_~@kQ zH0aFe_R}4tJ4|VsK^%WH`={!jQ*M&Cts5kzs|AgHe)Ei_x0Vn=zU(kujU`G2+ox=i*=2bqpBr7{&VH8Axt%`h`Fi!twH-ph<(KFXZRe4qIl^APhA3m1zFixG<} zOE}AUmRy#nEZr7{w=JL(`0yF|L0(%7_1#Sp52z(Lb5!4n$3E~AG2=;8D+9I>XcFW-{ zSzBIinG+HgG8GCEx++vB^hKCYSYP;{@Fn4D;V}_z5j_!%$R!bi$Y)VrQ3Fwb(NxiT z(W$Llwwi4X-+F87>#ZwdQeqBb$Hj`o`o)>VHN_8zUlOkspOO%fu#$+8$d~AmWR%pD z^p(6K*(ABJO=_Fdw$t0nw|$c0mok?+B$Y4KC(SC2ln$28ly2Wnw_S6)-}dX<-^x(Q zsLA-sq|3aKrIJ;b#mHWlZIPpu+bI_)mnqjN&mwOiA0eMFKcv8?uv_7{Lb<|MMF~Y` z#U#ZhMUs-5lD|^6Qm-WS+8p?6bn5V;lUiOfU}>x=7q>*wfyG}vx% z(BPiIS3@Pk5W`Z#1tTq^Sfi)L)W)X9c;nY5TqX`CX(j`^#CG}Y%HK6*s%CoF^r;!G znWb5hS*N*>Im-O5`ILo*MXW`=C5xqxiw^M zZhg_Z-$u$N*rswX-Cmo$>3c_Qm2G2eo9wvlJnZu9=Issb6YYB)q#eQ?Y8}}fT^;W_ zE;t!GB|8o7Q`{H3uX(?~e$4&~XL@Hx=N#ub7ZaDuE+1UgT;p6j+@##1+@8A&xcj?T zd9ZtUdOZAt_7A5&^8Q%$wD!#OobxjGy5=>7GD2NJjeF~RU-BM9>!Op<9}nmrNIvk% zN7v_~&zLXLH^ui0#t4&!nLcQCFyr8YpS54EAKBl@zbJqq;E#Yufn0%pf%RBnY!tR7 zNH!=gXfRkS_;T=6h-FAlC?eD?v?7cLJWRa|mkvJ_J`|xBksh%a=@|JiiZd!O>SeS{ z^x5c-hjtyheVFDj>Tqq$)|g{4L$L<2w~io=cpa(5iQ`VBc zK0bTG>BQrcLMM-&964orDnE`bE+nq=wD#$YGc;!~XI`J(arWw265bpCB3>yzC4TiB z>fDP2<%HA(^7#YjUni<3-nc+_A@D*+5;EydGH3Fk8dM*w8ZsVwIczuFJAxV+e;@jO^~1?g*3p!YA|LO5Qu*{`Y}eS^&n}-o zj0cUce2JUjoVfN?`fJIg{^ZN4eN!K%L#D~!&dqF|$(>c5t(~)(8<-E6Us=E}ZeF~z zq`B0z?6mxGC32O1HFa(K+GCO>sgE20R=NM&Nd$ftSl>y6j)sPYmWGa&mX47Qe3=>O z=opw;n3$NEm{>SiD9889df=~T1h~hsvas@VaPa>xb`qhEqtT~EfUP%v?j&*s>?8sn zYa#kyHxhwpXsM~_5YYFXM5w5!e~kreG=JGigbG0o?<7J;PYq5~p$IB!u$-3`Y$al_ zmyX*LY$d{@l5~rncYP<1p&fi)QxYM^rzMS4?UFMeph9cajHNDSJyhRh?;TdxI?S-` z1S1pw=98C9c4e11wmC=(d>fg8cN0N}pSrxhqsV`-p@^p0KHsP_sdp-#b$wdgzSDd^ zCi?8vyN{lCk1fe)SvVg&gip(R{G#Xc^7_sr)U>o@@JEG{jv+nubZI!$>x7y@?SP9ky%SR`9KzbT;pkdIi9-M zve?P1u)z&q$r~Z_#i1+z|7>sqUvRfj=HHXoe_AL4SS_ovmUPzJiDlmvucKdV|Ie5I z7n>4yJ78lR{vA&LCDZ??c5z^{5ZD#_g*yL@tzpBkJQfG%ATN*lZFsPko9o`dLRt7jghQKTe`T06!eBK=1F^Z+FbUP)Hf{GiS}b zQ~l4&j=nZPyXPr8lxegK7)qY|;b+-u@wX+{;SY(xCFj=^UBT8<%q44;S9#qV7w0 z18zey-xj1EXe1{;bahH;FQP*W728{nUDplNE0B2NQ`9xwRJexO?I5hT>d!UrLmsX#d$C~40dQkESu7PXevEHGuCCZhn@4-2YdHyeITxxIQa%O$jdr=wG$OXs zb`?CX$m}VU8Ti@go&9aMR4H3VW&Ug(?F|9o1KJ2rZ$7@KbL^zD>?WqNU^DrnY_fh8 zg~kPyXBq+?v)H)pc4%*)oK!!1m8^d{n2m}{BVaQNhW=E64L0SSNiIcvNI^0bLC0(& zU+U7i_&!kjkf}j=o5hHqMO_kaZOO@YwQUcRX-1mgeelS<)PPa4+v{ozTWk2i?nLN- z7UVRF!QUQmJiE#C)H~nT-cljB{)CYvX{*n4G9CRvJ*`foE*Ar``gXH!j1R^{VKm$HcdG4tKZgJNlBTtclmMq)2vLqb$g>$aVXObI+M9 zck!g$NwM$`&tmrFOShF=SAQXCX?FZ(f!?;@M|X3@`ls!EZ}Nbem;V<%@1LKkJiXlT z1ajxBZ-$3ptZPP4OXAQ~;W+M5x48ryX@BDC7F-+st$V#5&NCcc&h}BuNi4CQFW_8^LFx zg$%vYeCv`n5?jDZFm)mwmYRKbf$#lf(YVK40LbF`no`iIN801dZ`NFJ?;qfHM;Qk0 zStfxE${dMHav5#H9Oc^@<{w%wezb$r)*1L$j`uvHxvo_*F%AdSZR*_G$9J_=WUxC} zPu10OqC>{h(lt19MKbaT^?wX8qiqfzYVcM4yt4d!O&J7<*>gAS*FYnayts_2g_h0a+)^QD&d+(tfG!GcOssabnpM$YaO)ElK;3?{AV)r%pYXYE zsayRPu6>%1aawwGVmS^N>;N`o;%-%3#D1x%1=f27cFYOu5FQEu>ryjF`w3thmWQ<4 znh92%^EYpO-HAJ(TGsXMIk7EwMe$3W#Vus&(5d>EXtP6wE3u*|1OL402V?w6w=#tj znip*=rgB~+N2gHfy&0$EeJ5>|O)yX=#t(R$;lXJ##M^tq@OV~V;$o*TPp`*tuihZ_ zkR8}gWTdw2NpxoSDZ_T*>$uTtQHGlFg!;EQDWE3GaGH+{fq>$=&dF(2k>+JG1bh1) zR;~ALE@B~x3<(T^`YxJA6TY!8imz*QDoPXebQie?Tu}k4pSYOD{$ULVSA+r?;xi5}h_b(u&BGDGAe<(ro&w zO!Vzh_EXlYZ3*&MaPjwat7l*fEYXfgwJzj@-6z!IG-yUC#8-SWz5>;=$ToTgla!`3 zxhQHok0erRtA9mwX5)3MBc{uLWOuvjyh80EL&1RGOQ`P<{!XU9?C~n`ygl-|)Ljlk zXcjP&CtMAi``EBVtF6W#E+U8VuUs`pc}z;{XqL|ct4?1Hdu@*yo2Vh=2)M{(3=3_U zoJ+&q$BiWoxhw6gTs6qXHCwL&SM82+st4W{FkLMnL$%1+rc*Iye~;E$kJgy28@drc z+&~-_k|H@1h6I;UL(gOOm6F6;#;xz+$V37GYfMvC z;^Gn+I*A=iJS92p^b3vNr;$es@j2RqAD(~ZY&1Qcu8+YPunMtpoZ<=OH~h=(n;wa4iW z3AO9WHd(W}M!lC$?zrdMO+63@*2F|zynfidq@;~yLeyLm`B>!Otu55Ow2E8>g+Bu z{mmgM>^%QlQ*M!&qVy7p)+kFw& z)Z7KfYxb+`BFIxq=R|4C?5n+7B+e;SEaKWjX9ROp)6HTgM%|Q_j+jkkb6Fup%zf*= zn3dj?(F$)&>1M4WT?t_7Z9vutVWuv&ch(Vwd566^?|IRQex z2%Y(~*8^Rg)x|GwtkaZ0i5839ww33a?6Ko+zRmgOv#T)Z3Guj`*{>$Cp?f;%@p{C(idFSs*r17D z*fGx!UuM7Mg7ntDUBVJUCE^BeQT0z02CMY>rxlr9d9YT~!|r^wR6FfrqOP3E+X7BC zj+GPx;d4=N8k`yKy#e3j}{)7dDuvD`Qv%UVz}IM5J72Ldh3T}&hOC4*T&nVSK~r9iZk zwLmK!<+q0?M?Gni&6WVwL1^N5=c#u05!lq?^4$SZ&Igl#=%Za-An#*pIqFa3r|qhB z%#}qi7AZ?*|-Lz)Zl{&Uz9VB-m*P>^i>FMF%VGkCK8f$F* zpo-jX>MGF8Rf2*q4>GgJzDzqJ#lG2~USO8{s%r0O@QC!(i2y2L;@R45c0=?G;>?Zd z!j;#eUMw0IVkqbS!~Pb_NU1`rTUGOaa&0H^ZdxW@_;pugy>cDGM~iW z#PiJVJkR~*s*I#^O$$F>Jd!u!I~1Lf-gu1^%bb5?a<_i;3ub#<)^?9LCGUYfNFPCW z9@3D@%ZCP7ABIGWxLaRkn+LN~x{a9Qa2lddS|p^ib8S~wFZ*y7ozH<#(>=~k|NHI zcdB7q<3*FeSQm#KPmGtGG9sAlBSY1Mq4edE;pZ_NlP!+O$s#x_o&Ac(1=lvCNXx4( zKjO;7a$5ygGR6}VB&Q5pa`rj-o*uvz>d9THVQ##B;PQx&Y-i|N5HOiW&Q0ZM*cqo$ zTp>G8(Q#hdgbJRasYQD-c5NGISg}exfmXaVrd2$0nV;t6g~WX+bc5fXmau2259G+RX-EtrNUA zKeITVxTEaM_C44SMgSYHk^$8#f835xEo{8p)mq`dJwdkkw%?h8;M-UMCzQn(j2q1O z9$ooQEXO;tt{4|MbtMx3h|V;q_tQy-D`)q*?qYHYJR#r`p&B1fr<#5ckXQjDin2mD zyLL*NKda19EzD6Zut8aLLvxLnpF+}FD2q-`=OL@gGX;l-E)IrpyLi1zM@_N6jFih#{O#(48Z$vdYrrqf9Gu^sdxbYASt0 z$<^|kvHFLSki8WWY&<>3ws_sCQf~>6?+|^0*}JETWnNUOmfG zi+8JctO&q7{=+$3**Ri+?qAS(4t7_En>WK6-#q~rlyAd5TCV z(7?CZ^9Nx1oazLf15Z%3-NnNn*InBqIRPxOj{F;p4#=G-{)s{UMD6|&>-vd3{kx{p zzMIj~;94cyH(WT<8CVrN?TCMC<4>=z?D&+%ey+h~DXhWS+b{<>(xESczG4htIvqKV zFXYWx{O`c!|BU&;<;1~L1E3EUe;%Oo?7Hq)2wN1PS z^GEO%Zxc)LrOxbFAwr?dkZ6Gx8OkV=sZ5?NB=P5$HQ2wuP+*uaZNKBy9fj$-&*y7z zdKI4kHm0w^!SLjW5@r^G&qUqWsqd|3_jWihmEy?>{H>1~>C57Qjrps=_K(A77>jZ~ z#HgPkJ#pxmKjM{SWO--V^zk8OGLz1rw?vb05i(EAE_Jm> z4HwRB;>D&77rxJ}Qg(b)WYIoc(B8%y(mpqaFE5j6QRUA!CQ`C+17XOS%_mmO*1dPd$)DnPLG4s%hL`8**zA>Bh`0xowJ=t zH0KfZae1Y=&yWOvk9p)TYe9bX>D-$Iwat1C$w2uYmxn!Sh>IhV9t zY%kYSNboEFM7U?D^=9r&Cy8TwgJa?jQT+*4dfWiGJ=X`@vv!X1T@C#5K=kJ1^Zd4M zHkk*_GlKdRm*_*e!dM%3-37bw;+lB9JFdKSGkmB&kg1E&-}SWZ)~$xURHuXS<^SAG zzHe|N6iXkmWpFHstJ8HbAv8$HH;NtH8dKN2>zh z?YG}GI7`eou;M{;Dk#U7f^16h6t>GR9kcwY4VY5@)3l5q&*O^1*M+hV%Ntx8*oeG- zhgQ4_-^;>gmRHM^@D(l=lFgr&G0<`{MMVFN(%G0Is?Uw*B!;lspnhS(XQK7y(!} z3*hJcHKkU~ONps!`j}-s!c0H?pcAbk_vzGdnvLvN!M)Oq zaym0=BKrBSVNprfKcjxlb#O_IkoFdR@d#uy)5agU7xk7@>Z$XHEN#a0;)m z9*As>y1sm9HvGXY7y)j)Sf5K0tDa*@(7So0C6&GIJd-I`MMvP(gZ`hI?q3oaN)SI= z)D~%kz1vA_7ikl)<{HZHyZ-9BY%e9mjmwb|nI5;E4+mvuxfY&&RCW#vu;Rv~`ck## zlt85Ya;!dbU*lD(LbYAF4ZMOCqwG_SDShF#$bQ@uu{%M&Ow9t|SY&7j#*`z+tQU(& zf-A~7`jxY}t4?Hybq&CprcSqVvpnWKfOzF>(<(rK0eh<8SGsiB2mV!vIMT}~$NpdF zo&dB%v`&mucmAsK?Na5UET-(3E5-8GLnlp+$y%po+U+`jPAlQfRMV*~mZwt-o)v5d zuOtBCJexBkS+SM|@RBxwXW8lbme9rBTm;ida2#~QPZz7Xs|4(|la@7q*0&_2~G^dILhDP&M zWMmgJV5oaqgS7qOG!j6%pdxX@@nRZ9>uTT5=w-NPV2a#OvP8g9{laV3aZ8U)2SuLWde~yBFm_!)naX< z0i65pR@@R=1bUCfnBvZscr0OxD$D^u7o$=wjvEf($2Ea@o1+(jgKA}mwO1{(?5=dM zi_F2;U(g~t#*}@BZ$mWmiF(kJV5Be3sornZDl=no5jn*LI^v>9%r0=d>Csp%5m{se zdWAVXI57aH1fHTNQ32$V{iIX9e{?#KN&${UNUgSXx=0kv*5w#2Z53W(^V>+q6$G6y3GA=s8^|V&s$z9Gx@H`U?@#ua2BKc^Z%o{_9`cQIR zBI=m5$F#68=KW6CM^^s{(&*hBp*c&U_de?b+W$#(KCf-uz zrTF*DxF-Er<=yP7>HG!xz0t(xO$I&k7BpsK&tB|yvqmZAu*v#f98FXRVG6gjxHy&f zMv!~fiMOOt_RQ!xb53@_*c4j^q?r_N>1yKXVP%&{@u9_Yj&CCmm0kC3WzMe%c)9m1 zdcU{*&}JRugyu}X#psOFYbmn6!J~XEO4wD9C!PM*7)haUNm2jX(&{qo0U}w2S!srv#vVws8F^yJ!dF&q*A*Db|s6p|H#Er#MNtUY* z?`V(tKUBKMVzfhzpK2%H!DA~9&tJ%UgS`XA=GZ6z(HY}7wIHTFjBCRQrfip>vgM)NflFy zXM~nrc7q=IjPTwI;EF0q7qn-~(m|h1!ab`8ppoiy1MzkVamhcPv`cEPsm@)q^4Iu9 zzotz`Qrd7I9w;vHXLO3NMh#E4_Fz}bOvsQp_wvY4(H56A*&H(T-9Ej|?wX~`E}(h; z$Wd)19{i?&%51Jb-+m2)gcQkkego8=VWl2l?K4x29VaS^j#AEX>h<;7_(haotx!XZ za_JC&SHamg^Ws~;0yu9%)~UWtb%O4jf;u4Y=SdAp z(nwyQ_DI1qKPDdjOhJ%?uzE7#2rI8C6KdsXLw2{xz_kJKHeD z`i;|IHGj7|M<>lxxKB4-<4Xi|gXukKOb&k`JzK=1FLTNl61sNUkJTcS9hLNF&)MB#O$@2!bo zR!@@{35A@=mP4kGnyep+T|)w>acCMnP|b7r`m$czX*Ln|Q!dMc(-Ij>x~~OdZ|WaM zo($s!=;T?+sm!-+_nKCUNSg_TB7AP2rn35opH{5je@8DB<^ZGf(>QX0xVi&0#{H2+ zr-VNqIsXeBdgajK-mlo%*xYY83baZINB%1via-Uc)=PntqGaeEv6KF+#NuB4gN__l z_b}*7mlHdCFS6aK@{N4D%}_@(t9Q@CNEMy%(DrZG@sr-ki^0BQ5j5?!vLkjLou?b% z%v>MGrr{YHbe|*djSM$e#YmTg^@?{W+<>u7I9R@{_hxNYWBd_}^iFy($sb900MOjF zF&Lhu6mTS`TfkA_`sa6RQ4|T?SER%ek`efMK_b9^-NuJZvT}Pk_t3*`)|0F3tc@q^ z>c&-*A-?Y_71jg+;!6Q>;T+4#_~M-0;izk?Zd&t&}6jOBs(;nP<_~`rX{KGBxOU9nA z+^|{;3cj=$>^3KBSi(F-aV|prnK7F=Hr4TUr~W&Bjhn(9W-oJcPF+5}R`e~M%98&Z z;lt~b%K@cdZx)3FdY<3QH=TJ1FPQi=I)%7YY$W~QTjb>lFW=$Ti5Hb2dU=d#CAmFU z(!+$5ot=c8zYUJv26naTc+BjPHPe10vE=;OA;n5d3B^N27Ztm(?<&ulW`QIZ$szin zsoa4|=j58bm+ssx?8}M(&8q=}UCm3BzfrEyv~~$MXUUr_^znnxv7nB$bN8G2thioS zuQHw?@fXNClWfN<&Xq@ozL7d`QcnCU&S`GABoDcLwX}0w~x@66o!zWM)Wo@Xn)Q&sKC^{%zvs*r&5%hqaXb*yPO;{q#!zb)E+kEyjf z+5+^F3r0`C^ECqM8;V!dM1NjDCSlEVm0z?#_V5z1CAPIw@MJ5K=(e-&kMRyEmsJkv z=&H>WoTMd^-O!Q6;~maO{f4>)!i%vw9LYAOC-yEgzHBOk$4$ZB@!ET!gXWjHp-fsj z?>f>hB;<}nYtdQoW0Gv&0`5w(_xv<&rNktO84DYYH}gouG!#x9Ilk>v6(LeO6aShx zIorp9MYLA04w?GRHhuY(xQNC5mY7`#o*BFbRb$~08^*|L`r&nUT{aWmJ8g6s8;#~n z$Mj4l6^`NpT59?;P-|5~Sr@xfY83~E;cqVgfJ9gSZ2zfU*-l$20ff);K23Nhsjq5A0XFvRaEM#C=K_pa>Lc7eO>%OR4pdF-mp#0?* z%W=&=nDQ$;%u62a_yZE2h;{D5HNjK=g~t{FsuCcKc@A&u`2i8P!?oBGzA_l`TzIb} zMmB552-o0Vhu_5!`!k;v_z<2krWZ8+$>MAyi2a;En53BZK8?E z7)N*e2V*7s|B}4w#%%OqyX)=xg!cSb>jEf3mmnK$TQM8?KViDkf+#@Zjcwy*`FR7F z^$Jf%kY(_$jZL@kos`+RjICPuc$M(lt8|8f$Bzj4@1*2ry|vN)F1w}Z=v>wwSSNk)*KYLca27|$G|QgGS(S~6bTuG+L+)v1b{;!_7&I#M12*=b7=}O#Ks|uE zXuVF-6gzi>nv6qgQS#m*C_FZw1H8lv^)C&MI14TkU^r4tC(p%u;PZ{=W?t95QFx5f zFlBde{9<8R9$y64amO!S?k_u#G8oPEe;OFxss?yY65Jy`K{Rj1MXp7#-$O-VOcjb~?vXNWR7dKATL4 zuBg!YqNZG{6#BKdVe$=Z;`#;RbRFyfuJ{=iuHFq*E6dyQ!GzZ=uWisjBX(_b4l`aRs6hnrV)FQRCO{jHhzd2HZ)*+L4I|KUE1Jkl{)JEj zfr@rrh$PDP;x);AlQG&$jQXyoL{Zkscpc=S3aDsNqwfWZRV{z3>wlhF1NcO0N%TUb zU(Wv*c)&k4tG~6f|MjVU{1bcw1Rvp8Tgm3y2I84anaa-R{O2VHE#U>JkA(0?P3Hpw zatw}=znpo*g(W{4t>)bjbedp^O&7m5WS2m2%Y*PzJNd=OLgZ@idm&<824FDdj!?ka5@{gYhc&L@P6wZdgQi`t4{xxJ>xjz zYzT3aSoLy(I%)p>DjFHX*Yd#~hF+<{MOWL01D*&F;T)BS;sklqO9g1S$VF%h1gqLpUxr0M-TzkWDly+HWuTWdICqCrF8`rNry0x>EPqD2nT*eCLivg z&a{H&Kf#J$RZFK`ERv6H=Ztle;9>F11I~wT^N34kdrRST4!u_FPY-8{?;Wn*6M(wA zb5pmSDr@p0@W311hESo{7CKC-E${XF4D|Q!1`*pRk@KtHyqOXS~*YjADQTuh}wYIoo}8-r{}fNYJq@Z zDCz#@q?UqE>PAo_o!ZWz(Kdoc|aV~bF><>n?M8+$IxVuEB>6N(A+Mu@j2b5`Qx z8%7zM;A0+SC5zFy%M*qnJ}K&IEt4|tgO{he=Z}i)4#6yyKbl_||7iNg5zMcQXBVB4O&w{4{6Jf?RW^)hT? z*kW3C+Wrk`iTqo-K6mpYgFvG(OW4hc$!n}%HHO#X(gP9|s_}k6h!1~2s)0p@tS8=h|;q=9a#5BJa51qCYh2JuA&xL`%~en^D(R?qYm! zt~zCOCULao%lad_mkgsiQ+}#Fm$eSt;omJ_;%-ZF*GGivv@d+L`tk_ zm8f)l70f+&>(LWEI?S7V<#MR6wEa6<#2363UW%7-uTKT6=eg>&t$Dw?^_GT0(zqd2 zX9$lRTFlOa_%L`;%U^!G^ILf{Y>Z8t{#+cC_1^a9A~A{4{a_(`oolDdxOPe(vYjVC zn>t%W>w|Sbc^WA((JN@{k7i9GuKrD)X*N}S56*WWYQ#0qU7xPytJeV2^e^rP=Dc{e zjWgIAPYlz@;z()b0H9?Qv4JQN;Fk%MspD4-AhRtkRn*l5qOZTS=fBL(s=#iic`MQg zwPL}`WI|oBq_Q))@b-KkDY@O1@9HbEd$Im9lLf5@t|ujtc#CuV8jP)YVXdf z;#S18?$_Q{-6IjbEjq=L&uJlHlaJ)9SA?d+#F~|-3mkVx(uKH3^Wv^xO`xu&68UfZ z38&jqzXSfWQcK;P0;o&3Vl%1vn^?~}BBO-cwmIP&|UXRpZJf;mD;|TEl_lFw* zUJ%h!g6H?kHyz^zPyx6G3hd7eo`6~&01H1@bK<}VV^|W7y9BU|w1kbpa2!<$7l`}kLC&^-)Tf)X92l|}!6putFZC~Anz zr`iV7ak!%zF?WS@JW~LmOF7`eo&J?L(Sin8;;)!fCXRUF(?5Zdrrn&P{hvQe>T9z< z6i~fC6fLM3TkZy98New3I8w^uIIIHv5wMnBh2pXzr{ZJ4Rc-wWpPX+nlfjQBvTb%6 z+c;QZa56m26`pp~bpW37MLpDB2Pl;7G=O(b153Q`;SLtGuR^hAz$-sx0vCsc9U~E{ zeE}widRtez=;hlOt}*MKS~YV`>cf=fiFi3(`4@uCu)7o*#vuM4gn|& zn2^)mJb!`%j8^BeX0Pxvo?XSh0k1Nu33MV0sDA?uq`u2*0$4Mg3E#Hz=t=>+SM`{6 zZa7_3TZO|D03ke@y=nJ*vi5!+mKw0tjgZ>Q);Q$h1o!|o0BW3q{&A}H2ksC8Uw)95 zFpYYs29{c}C}V6|9>8%ZxNuQfAJ`s$DwORvfI&A4a^;UF0h)HqcgNuq9e!ZF`5Wp0 z5dzrf7tjF`7M6+&6ENLzVcMx(hWMhwfjR$Ye4BY46l|hPb9SEE--VAvQ1;u_v|@q1 zc@X)*%f@fa0W)4bP^Zyl!j!1veH*q6em#&+7(oy4hdwzb#9;^=oj-?rVq@!1aG?mt zlMd^8EC%04gBE-T2T15y)ACc?)v~8NkJ8o-4qs)!U6DL+xiQx}Iu3A2K)A=f6xL8g zZEfzc_bc9wp>#K=^V3I0*fiYpGP|Q$L!;A2`hcdK-y&vt;M`ifhn&&g#nFTZ3>Yio z>1Yt}QXH?C*LPV{`UfQ77fqM~n+Ob7FsjC%i5>LG+5n}D|LaK^?foym_`o2J%%a0h zoMj$-C(FT&p(E6VSUhrCN;ci+q4b+VrRDlO*nF4`&tZlB42;7+YkYCJ>x=aU z{^a>VK`}SZRv9MCT*a+RG1^?dzU(^B;r-2qFlJLizZP7IVGO0wizN;&E`kevku2q0 zi5guuYgc#UyJ9gT2vVB>-$km_3pp-960PgT>CkgJgi23_MDJvW(OE7b$>pg&y6Qrc ztXy~O%&xSetvs|Y-=UH+<>ytPuC+C)fIBc@p?W0}ufCShc-3#ObX;Wn)FasLUdx#` zLj+6{)$nk-tIDM?XPNs4T1gy;qVw^liY4>yq%YvrRwkwS_12H?ykC_ovAcCzujC}G zSn2Rb1fXGs310&D`6pO^rDl z+FEuz%N_boyRke6nx}@=O!c$xdE4pMX^S~lwW!&h3n|2RO!cAeq*haVji*D5+jy?W zPtR^P42!(g`J_XZ7grJ0XNf3$i68p4YBo8!n|MKO_vB|aZu{w(o4OVF-|l9e)~-|M zy1mqcFCb94@;aoLeHT|s`B?Rq1{Xn}qmM>oyO~p;^U@)qx^0Znp(27j>Wf)I7x7=# z#gjBPMgS=fs)l@ahd5NMJKmYTru!Q;&E-5t+jfZ51NBve;d)j18l8P(x`3ax`<<8B z#2FObt2f50FHpGET3bo+uF-7vaOeuOm(F?2dSKnr zL~xHB>~t^K$xs;msgVkC{}haEqUd9X!B{`56&F^z-jML2W<<=FA#@l&5~H~Qn1T66 zJTA*slb&K;BpXs?seNV_67qFc=&QJr4V5308)7?KkJxq1C{FP=tM#{lSShn(cLkkFIWeYh;B~GhrG4)1bM#Zt4Td0B!+wn;I(; z#Kx{hpPyXJ`;IgsGr#REGe#lU&=aVQK4KW0d?Ebk2Sh#(Py)_v$$#Co({!J2;lW^| zz?-SDqRcx8)T3|USO=L1}g;Thjidq34(7Jz#sHD z3)jzOsaf_9$fZ!)&wnwN;IytM-Js=0>BW^GG-c={T~Q$WE`VAzW*?r>XHq3E0gz06 zA>WLPs~fXWb|;|vgMT=5W`PIq!u^7-LUN7i$Sx-1Kr5+;2q@?A z+pP1-k<+)1Apj1b8~{ncO}lUm@l#b{xD@^WamsWMTD8rFIuCG&&_I!a>Uym9F^9c? z8RSE;{LLSbH-PTmF3{S6S+lzp1B?K3~BhwUM&1Z3*2<_t5Qd*Y_xf; z6!35__fen#+Bh~WCx5}~>J8vqb)`C#JT`fgNf|5VFZ;Nf@xJ01$w)jUaUMy1g%4zf zsBmvT&AYEXJ4(gos^<#&`(jUcT86k#u?LhHGLW#*6Bfa@s{)_4KZowwYN@9LWd4AR zb#Qper($)&>>rCQ2%i?{dZ%uw#CP(9v5!?=8*R?%?e}lhy}2WuJ9&x@MB+-%w-vEo zWE@KE;DRS^&bAlP_}lf~Rp$06G)qeI47iH$sW`!o&tUAxM$dmAAPByHA_xd2{^_32CUwmT>lD&-PjAm&RdnFg*ui5+vNH6jL4w)EyYZY@n31`X zaEefN97&93QK?S!E2%E4BN;oF>yHB)^0q!t8NXswkXttuI)7d;R?w-IYo#@Mw0se{ zIsTY8@>Xwv=&HvL2;~pRjuB3$wA~p7eLGRRY0Ejc2;8Irw5+ehc9wrk*)-ymfXBv)S`gw|MljgxZr$X`IszF^5 zfHSnwj%=ISxN718mK7(LvbOu=zhq&ZK}U%_J0QQ127e=u8Huit!CV5kga`oQ;2;_^ z0FD4-U5X6=3UNu5sNL9n2Zn|VZCFykf+=@{0+8l7;?w^!VgRxO&m!C1+)cDH#jU3- zh(vNGXDb2U5TF{Zsm4so(v1^C$6g9LN5%o@pjj4O_E-8k-?1wT+<#2R2M!dm1+s~< z#wf!n6@H*%5Gv%Y86g&Mm{JjNsN|xn0RoEN62wOz0f%SA6bYYY1gbw;#=IS`m`|F#}#a%nDKZ4v$Pw}8<<!#afKaCHUmH!UV3!v*JHPGo#FpZ9 zc}5elZIJQ2vji%=h*qET7=)-*;0&j`twSVZTbbQq-r62kEUGLM(OxjjaN0p|hlTQC ziJ(TJi_}RO*iwS0LDTlKiT|Y=i_Kc+#!&(9+mE;cjUJx|o5q~-b(HVUe z?!jy*(7bd0kpowkZ?Sm?e@$Mey_Low9T@|~*jibj_4BQuD8sWZ{%*dIlu@sz66dZ} z*Ss9@;Vjcd+hH#bec36=!1Z^ssFRZb1d2geoE z7HFzODZk}Tlcx0r=R40zBsNaTZO2}R2_nk;&7|zMM^mafJHtlL%--iM z%XSoFy`bKq4`8LT-^D^yRu)~D844IJq8suSS|mx{e4Oq=y0!}nFj7n1&L5Dzaur@x zv_0NJ{{=k7JvDiTF}mx&U;ZtdFyt{38WFo8mQCD*V$BNU?-GmUSf;=DUi>YE#;j^w zm*CrN2P1(^-CotnwN2~V>F0=Ie-+xHz&DjnJ_{3_qiW~tDW?!(0||5Ss8|Ig_0bc< zRH|?j{22f3cbD%Mq zdDN)JjF&3faD7~DkO;yne)6md zw{x(JPWF*79RFy1lg~%2{u|V7eDG!CAd3am(gI2`r|~V0+`W*Iv_YTogpU~A_^-@- zqv>8Kb{hPn|8(6+@MYQub8pe?`V~7xx8cqQlO>__E4GzD2LNjSZ#3x`m;AFNerINZ z57|E`$d0vLK;HFVJSt!#vV0iN0!=SvJV%yN`53W`>jwC_Ed-3_mI@MJePICpoyh`F zd~)omq`{YlI;W^Q_8!zt7xuN0)4cWVh{Mt5L4^>dkj*TP9}bWY>Gm|}?Y;WcVlX`P z3EMUloc;a=kh4Ij_C^EORHI?GKOk?JO5pE>(b8$9w-&0f>sY!-3D0{v|Yxy#U6pI7zA#N!m|nljnLA5nFi2@|m2 zhVbuoCY?)&~eJ! zx>~rS8S#2MK?P;K@3JK@+x|-6Eie*NKn?IzN7%<1W7oTa%62+9E*bR*kSg8z*Ps~yJTJzE=;NJ?^y-XTvD5gU9G^rBggWDXc7%U# zjQ_Hd|HUl*X9fJ{y7!;Ft6FhyXwSC9C%(FoAlt1a%J3ro{o~TCE+oJj@uxDu9-++L zkb|B|+-5^}s2V%sJb=F@JL@#$cG!ybPA~RGA^&5v3s(1&FxH0s2PDx2QE2i{*sh&bx8^q}8ooj$bBD}-*Yp1cIvz6P1la~I*TFHsQCf6)ntk=~M$Zw5zI`sd^ z82@lpUugk(8JpFp5+tK{-`w&#?{ykJ?Z|Jj4+UL2G>n?HA0d7(7*iFk#i=`+hS zH|C0+&pcs0U*`~is;r9Bo{p@7O|&<5+#@#TRC^@`IqKM;x;>g7=>~T+c^&(_yYhMr zq-3$j3XX@GgK2&j$-fkWmqf!mJl*bxh(efbERr|N=?+I-A4oA7K_u{3T@1HGKGr10 zx|>wNA`=~MKXb+dSNq1xuAzW9W&=?lFkAVX z4DqKd=D3dF$N?z2aUIJR{G5s{&4vz3pldo+St)SiKRd|(HhB6-Joxr|WdCFAt_?S4 z(!$L=%c3j(`A>c4Xq-{pfuyh31dUEM8ej*^ZcxS(znZ_F>mpFA}({z$y zmLeWJCj9B*j)ZW&y(dHK9i~@Qt(oa7amt~eQwSf4+HY>qaoilc$j+&NDbm~}tCFo?Pf62r&|Dsf$W>dH7_RgL6U6`j9z>61?{&q|wofq$PDGLAPyRG0=|OrCtRkVuw9>MQH1VUc;C zf|v8@X6$#_8r!tEJ}!t#UN-)Nrz|zx`9vdcTua8Up75d7b;4{44p{>xtK!SUIhS@8 z>p(ZZhh-blRj0gzw(4dnt6IRVk^RoG2c{YI?bt*De{wC; z_q{V?~tI96;i4u&Zlt{h4~GM?2i-UpQ5Fr4os z)`v;5+MaKbZ9oaVoAXl=4|f!B|BVD8CXpI!$EcHS{q(XD_V6c)KS)@$BjA^Hn}) z2BG1OgcV1;KpBFAzd9@rbdkpx6rx^S{$~hAF-0u{9@vKOww0F3JtZ3V?qK^2%hv96 zwsvZ+E)&uZc)daWUKa4YbK;u!<}L zYxelN@P3BugJKQLvjTC^tE;`@ghCiK#)nSIhH03{9tJn-Jrd8zH>~tl6ZSbEP z&Q*@7Grg?JfmUTVmj9*;nC^C8-}8T#;Wmr1&1BRWAlU6L7ByFaBV>;`_QJV-u^3mt z)<+ffBL6f1=hL!`Wyw~!Nj=(Qn7@Em&ap_4tbocjJ=1U(F&V(~WH-q1z#L4GNxe08x!*=f)O&JQgdz zNd@F>bH1oZP_N-^C=yVafu2b@AC8R05CFXp)bA6P^N%3KnnA%*L)kXwen21~M#g`P zLYN6)iK>SvAbyeG?M(f@6Csu8()`dEXi&P~8)eBmhy26UEu(uA;rYc%q7zx@xv*MH@>&$juqn*s>GIMdEX%~O$fuO*)qQYwK>vGmEQ=D?y5rtaNi8yM-B+>p3KC z!xnzV(bM_6*fEj#xfLN0;NXgn7H_l=$1Ph3-tgX%^jN$Uc%Siu$L`0#xkZ^+UAp0` z+10atSD)~(v+{)8;h`6MqfTSrTe7^H{UT(@V}r!)*=BanbpAK_gNn4YLxvH-$@2*Z6CCR2 zuiYIm3BJzG4kQ7;KFroO{ygwT_Ur5>(8IwJad{O1pT7wj*r$UVZCEo7&__K4U+KFn zLxpw<$Eut>{>a}6`agV0Vz#+1v(K|H;R?SYgM8}=rXv*8EgWn3&b+sQMopP$Qc|>L zgY2||_Mm4$y~WGqN01;}`MZ5oW1!5$ysyZ3?lr0+#V5Dkv^Kj-7e0~x)CM>)$T3if zZ;y!0QV4UDEM2bJJ1%d5!dTQ{$i2JPtFibeC!|}_q(8OFl6p^Xbp^9>g;f;MD7=V> zA12X=eTo8_nz7{|$ydX2?P^I!e&BR`MC@2VLm{%s(@uHB2h=(i@|!M4o?ou?&XRyy zA-_<`h7!j%N}XvZ$2K_YTzAw~{mwlvg<`?JlNFdHDuLfRcSR;`+})W)o!uMg`#_n= zTaM%9DBgZ+m#Tx9d2!$PLj;@7?l*30)CE6N&x=Si?z`09r7;6P?lO&=FS-xJR)yquepF|3=dW%pg+Qz_daz+<2PN9Ly@j>cLb4 z>Ix(3kTU^OLr@YKsGtnBtTQ(7mh+w0sHxbF=I>E?PkKb0ZsAOB8{f zlaIYS8xCcSL!_`){!)8G!E$otBZufQx*5v9+4nzO)kfPbs0-!AbEVMUsPExXh=W-3g8d7Xa{w@$(E66W_C($jONx8S4!d_ zd`qL+D4n>{vF|XVjz|&Z++ef#X^NVH;nxU?QCY6yK#s(OGT~WZm!Ad z*nRS`g;;7UNHMiScGVyd{7r0zMtcyjYB8oWZj3Va}3St{incm;NK7nDB#s zfH$}ZF?$*GAibhiBj6iC`iNnR?hEA+n_ai$$lSaHNkm(_?&~uJ6*c%Y+`wNOq>_@e z8P8+b6-Btq^`&mMS(!*nOLUg+3psIWA!SM&7&z*%X~#jFDFos;ZuxCNAeROyhv#`6 z;O#jTOH-0-kAz3$-Iv*Q1X+He8va^%w=1IPzJ%8y!RQ1R_6@uc7YB53chK35g9j^G z1#VYCT%j*-)6!St&4By3@KiO2ZY&Pcz~=z_>$)FelLUqU-Aw`;njSgC%Gt~@om=}J zZ6A(RK7Rd#?^4AjtSPR$2CheE1zK4S1aRs=HTb;Q&-cUsi|>c_7A~(SnmZ+xoXqEZ zaAL^^mysuZm7fDBhXI|nPyGYZmHo+J?ZUNgX|KCYKOhnkh{CI0uf)TXc*HS4mjRuOz*Y6YNps~{@U93m~L4aq`v;$nyaezVMOVQRpb&N+5q2kMW^uF+#q z41bi|4Dh=J`td&s4*1=IW^MOSVIr-ULGAi)e_xU!`U%ZW7-4jG5w{MK%FJzDw01L$ z3+=(0DiQ9_Eiy=2nc1fYd1E)*lH~1v{S66Q-J!|E2ZubZp>Ej<+cFySFP1&xSE^@u zXUJ7z3qri;t3&P@LG%k*FE-;94c3l2-gXs0pZf3xkXxS}uB(;^iIs4M`jAqiv+Soif?_p9D@M-6%{{up`%(n6H2ZV$NGZNm; z3lxAGo7i|PN`Cv|FZGe?i|LsouA@!(ygg9p$T|e_?n|NJs_X==6f)ZZM|+i&7lEEA zUCw-focN%49*=H*FNaoUjOwhtXvZV0tEpL6wu#y!)rKd^qqo=~(5|2+~T0etKc#HM=LjgG_L zAVE5#s-<#4gP>SSB3Q}u*aqMofW3jx$rp7`b$QQIn-Tzu;y34Tm!@YvF(w^6D+)gb z0FN(KZLT8}h{sP!;{!aoD+-7l7r_#ALfmD~#@Z3S8hh3nC?^3TxwHpR#;va)V~#KN z1Be-<;Pk9z55^qiGOZ<+8Tji=0&w9TE|fFya4~DN1HcxKJV89l(=+{Nu%@aQfCpND zyw>$a-34P*3Yhf+0CDWUB>-!jcXqJNh2mlpz2g6dUc)eof282*H-+;}AHe9_8%r1a z6w^_dEi{zx+mf@Q5$eO_)-=Ve(|qpNbC1mdONCd?Il#dT@hO8f_a z*8410EHS;GhyH-gx@9+)Ci|lEeA@!Qn zXmrZ@$}aF4S+U=Br?XiunlM_}l7ACPv&(x6;bfO`nJ``>XV9KKkD!qq5ySJ{Q_TR_ zMM<|9fE*wc<`ODr9`#HRo6M#{KERq8u-9Q)ObhwDbsYEM1qXZWqZ5KheH5*Jf{O_} z*<$ulHR+5orJ@E^+gk=`xz|Hg6}muNU(eseD{5?84)1^JUbnsSddIw1X6nMz2VKke zEg`wjn}iutzEwrin6!Uyao_%)ao{s&m@qS!AlWq3;N<|Ny{*3$dVwJ*B5@5~)^8qg z5tzE=XAnw$|KPcw&xS1{Ydgjq-UnJiPl>3a;~Xt+KZM^U^e;EU!6DGNEgE$E8-n9} zU3KaU8%zJ?gMSI8lCy4On$AZ07FJ8k0^XYoD+|==4_Az2|NJ+rk7jAZ(ocrIZm;Z?YyxQ2O!>p2jL|gc$(t$)G!$!P)jAl|*ETL`B^l=xbP04v_ zmd2-U-LUC3px7*A2~7W*xablsCXth^+xOkI@L3=cnwSnI+aj!g*djXz6YjjO*DDs} z++|o}-gNo0in@xv!a(CuIKlUDv^>@Jwsj=!d4gm)4kd(1)}lj2L02l&lfQ^`MwIw- z)ce;Br_N4BIjYWPoq2=3!F!>EdDCp&i9Y~FLTes)grr6&Jt`q?R#+W6VAlK69-S0F z*8nsJ^YAz<+ZdfM7bbiY+gPUD>|D1|KVIfy=B_Bmh1;5{qbDP1EP9@M+AZ^6C(-JXN@%Q> z{Q$d}GS+9dSClfVf36}w+Pk!yzEldoj6pUrk!JXIaN~1$hkIl3$xkj?%hs-@lK5Cdpr?!($FEZ-B==S zs(otqF}>O}IzH6kh<-}Mi;-JWph^&j;Y_?!Bd_)00pA}~O|av0lKs-5SbLZP>> zUl${xGQ>@--dn;GcjPo)R;PW*borp8Fx8W}d;hiItM_&F44q>d zkTL(%i6vhKAmX$D4z61Gzd*QAdmUCT{=V>q_wvp(6Cax=yxTQ>eQDj*PCdg{Uowwy zNRpqN+Z3h>_G{t2m2Om3w35>6Y2&$ijhy)7Lwr(N{uvXm^~M4Y%-iRhSr>XaeD(y- zA)Fqh2fDV34u
s!|$$Wo%`tSDKm}PDR^^?ium`VnK&3jzF-aJ#gJ?75g1K$>xI7`F@-7wUcPN8RiL8H>2hJd zYcX=^LslqNsoKG{vV&@)yzTQfH3Y)x9-pNpTMG?jBT(900)gy%W`Xd&#!_^1bV>86 zG}->*E?ylTe^yl^_XQobF!?>x=@|ZsTMO2g%vAK)#I74goAkOSRs#hD*NYD0DW|7C zCd`xQ#H74$j|ADHk!Y6(T4V!7*OsOIR_rhre>j=j32-+ z`}<6c+N_#eP#Kv_l&LSMrAx<7qU6r~fY?Qz?oS_wO1tG*Xu7#q_xN+9EbL$SW|9?A zeT5W|zpW!<_)bjyZ5t3iJGQi~JbyX#xM7}CCh51&xnxIOw6tH98q>cjKWof<(Jx-B zr*TO39B?0KS#I#2z=?mjcOF_+rPQg^liAa+Q=MlIa7Dh7p+lv}UC)>;juj{qPN_|{ zQK7$$-{cx}Zqn88(!Xj_xPB2Z2c&n|E)bS1eim?VJ*8>DkUQDI0rs{j9USI{k z$ARotaJJ&k>e^^yHJ?zyS1RGwnZ$JS;eRawmXz2=9_ zw0G5Y&&6mkCM9~yLaoASLz%Shy!)Wc8vd&Z+=&A1RUR}QWrenkF+=GyU081p z)`mt*e0PT7fToMspA@*t&(90{M(%>+{@O^_0~5we!F#5! zpZ>kAg`#G zPMdZ_vEWMePY#HQdyeb?3<`b3L}wmJ9Aon_>8w@8E-L=UbZ;b0$f#Xb&%{ILms-fO z{7(rlLzDgT???>1lv_OK_|azV{}S%~rCr>+QQl@L+`kWPm)}sQ;U=w3 ze!A6UTx2{>WrU5C+0&kGe*;MS|1#jEh@-&<6uj;QKOnNm`4!s-e?#^09l-O&?+Nt< zR5{Bd<|0+TTztkEmJ`fE$=}u-5A$kjde3k9Hu#(UT^ln#zo(TD2>iV^dKuX3C+Qr! zr;=EcSN=VB2PYC2spj!DW>jtn76J`b3GmX5&Y_e2i^rGZtV}eyFDiL6HL0{4`ZeZJ zMm0AE3U1C8BSq0Ig7nLRdK2a!8-pBIq=L(hvf~DK#q%hm-@qRaeVNZ45@4|!-MOxH z^|Fq_)?-b2BkE9+G~1%s^^c~k(TE8xj|xj?E5$6sxY8+gmpe1LL`KyC!Dp3d;5O#8 z^!yF@+T2SorAHjc+^sIJL+0Pm&)2rt> zo-~NDBJVG48`{-tHve9&<`B7wq0MF?J=`BZsh6$kCm%;t1L$VO5xqSnFr;-_? z!4|W;TUpn4*ktZ(S>cXj2HDaY|J$mHTH8U+vYsG?qB&H_=D~e=RyaNXYtB*cAg;cN zqs(ee|BZsjxioFuiDJQ1lM%@j@`2W0ZrxyO*g6X5cx0bwp?$@>(|9G;y1R&C&( zwdf%>@wv@y(Na#v;gu&{{`YBGTRo>o9LA}!CF4)!w|xhIQWWPEH`-bCMv^?}Y*^+y z5;iP%=qvbWxX17(CTtXfq#^@3la3yq!BFx#awd(BP17qj<9wU`6Y=H$qzn8_nlVBK zcj#3KaU3zxR=h~4lasX=qSN}t6MB|u`~z~n5>O~afNg?0i~d}i*2z10+z~7kN&=AA zCB-&Mv-wf{+end#RV!WT(7N2658h(wVr6#UcBg)iOjbsi#{k_c!y2}Yd@csW45nVgKW5W zZ`G^u{xHMz9e%QH>v`Bo*f~_SneCZ$q3Q)wxrT)g`#u-Ge1e{jS!cRwJDGAA)13SR z68Jgy&UL*WS>&RYyhWW$q=bV>w`q2qo`J1%bJ(FYpd3nCWu!ly_dE7i@~eZ>>qLqg zG$ig3Rnd7|yR&(;?SUZk%$e+W%N;lG!|$hP)_t3Io8^=M-ZmF4p*tY$4Ctuw)X&jf z?ayBxvYuR_QJ`2Tb_lzv#$l=v=cHhx;x3E!oS*VEu=+D^{-H0e`Z2WIFuE5r;m1|I zm*lo@pGMu((ohbNg=Tp7E;cPU$$q5HWV{S|7=wJJj}f`gkYHwMZXnRRB36YlkuL=M zE(u~&!CqS-@a{LjDdovvp(A0i+13dh?greRo(4O5HEj;N2v6$LWB{yxZMHs`kbp*q-8Go+k8 zE8QS74@o*Io{VjOgcwvU_ir%xnlC##q*$^1z~H5NE}r?woL2C{mh$%&w#oUl?B_X) z6<2TTz3&}somp;MRjVIhBtu?Scc;~UZit%v(&1ViD+r}o{%ndoSdaHgO;33B>?yC| z!l|CK+K7voIDsM_Ec}ygj&4P+xf`C%ptn<&hFnd&bL{)M1@<^n7v==D=GJW#d^+%~ zrzVHBgi7CFRqCGJx>W6h;X53fr}D$AT1~NRALpkRY>O#0^#JZ#;ZYe_SDFziNpZ4u zJgmYQ>CoYHIDmY6lo}{e8}R~&EPDtWVEV&6Nsyd^YV{NNwUFm*r-Kaz1AAPcXAwia z(wVic{uh-Tt4qWRoGOXH2ArYrt)!%)B^GOJJbV#jSh$m)efoghsDQL+_8vt>ofaYunoUjtSG+xytS@WNHq3+$MSX{zee3+;$j8;sLQKM zW(nK88Dj~Z%XH)IR39%QdANg~$~P@+d15VeUBi2=Y^2}CQ=l6p*4XWqG1n-CjGjE! zfxSD&LE|k!945Lef@Kb$1C{ibs2c$^-Mf>bF7PO~)>vh{M!)Dzl-3=+)I_8J8!iR>WF;N{jkkBZF0|=f zGvVi^A&D4^hSA1xA+^ViI|vJ`lVNe&^W_Pb8ehgW3MlptX@~l|zs@!;nVo83);nAJ zT>snH>j%<4n;rZfB9y&)%@AQ7CjtX}#!xM(4P@J)3E0};tF^#{f2I&?li~Lz){t1}#(qMP|8@qt*Mp>>I`mbMC*xG36ir&K<3AeT@ z<^+9shKaMbx#&Jk&mqO&!$rRPy+0rZqL!bqS9U}`HJA95N(!1v`^rznwpa2mV5UCs zDc!o)?K(|pPF>CRnKGQVGIr-yZ$D?*q}8?PL6w+`$jus2jHtmqm@Qh}N3xG$mo*Am z6@D5)Cehm;z;YP!yhYtXVC4j@NPN;6;`sQ!hS&Y=!ouGv5P}Ti+;iQ^x0P?Sp7{nJ zVYo`lueHb`&X8ZZr6!%%ITPNePr(&YhduWPcZTg`(pGT)^sMD?4h|53D{O2D{bu0+ z2{yYgD)kQ@4v=r30)A}&VB!FEbp*>_!UOW?c1qy+7vK+bKiN1ql{E?ck=Jj^4^C%I zfNX#Hn~{T)S_l6v0k!BX6%zk(ys`Z|`Wthxq1=8sPCF{m*84fgc?+=B78RN19FgLp z8#Cfjv^;Rm8-6U;{_97`u{)u&lu7O(*nT;qRVU#ES5(-xHYa~=m<7ThMs_grF`!YRA0FF{Y9Fn^%FeE5QtO@uyg8JD~_w|CCRXawJVv8lR-?K+l?182Wh6g;Ca zIx%^IV`sE_)(kQj?L0WL2d6>bxxq%Jw)GI%ejxdicm$mLg71D%y82R+6FEAk^NHY@ z(#$~dZRR^_Z&`#I3Ofs;`;-F>U$DLKx0^{q1uM(;4MLb)d3soc8}wsCj62A(X0^Cpb<^b(k!DP0DD0-xFT{pE{j(1NWAdw7ooX@F&|p4*OJ$vfjaGV z=4r+|k}64!v)>DJ(PV*EHNn`1^C)-*qZPYpV0z(WrsRZ0alfAVCtdu9#nYReiO#nS z1}fPd{AZjBPSz;%(cbjoNbXWv7tQy)Uo7q>;?)F5E<$2BN4xa^Hx$I-h8>-tzWwyo zD!(o2Spce*Ccq3o0;-S&6x8IwiCdU@CBPy&!-(_A`+wm*rm^r*ZpmFe1aZf(XAxti;$#v7<;JRIm1fO-tvt0|LOuxAr*NUUF z=)B%XqZL8|}Ug>=`2A{e^cXZPTfIT6_*;eOjKI%Si!c5+mEODXu zXs5h)9YW?8;K4R`zamwA(YkMZJ!O1p>r%>zcbswA+;^%(IbvgeKwNv;zWd*KU9Yo) z9@=3X?{*inHw=zQs%T!%Cm2>^3m-DJFnT+Lej`jLkU#ngK1k=w#1iFpumz~_QkLq# zyS<@~LV$%kDHG(1;O6}d{^zk0{%>YuX2+o~X}W9FnM2CDOLfvO33JXi=gpnTI~1B4 zqu}h7I^rv;Y8}(f6Y47%&5p?n^dq%CMd|*_;qNB&$aC{8s@iwiO%iJk=q3-Z17Fw| zEPwmg{W8RMvMQ3Ur^Ey~{vtZ|pcf;$`Bv7c@?fv{V__5iQ~^m;}kL zP&r=Tv5NcW3Zo!uRUE_2P*z?8gj0dSRyPZk3N8DPdmGWk(?q_-uP%G+-prp24BxQ< zI(4>wu=@zmsVkw8@7IA&y&EoxJ{kvNcPfB{$~OVAI|pX^>%N_)2XGp7QKwi+Q0>#p zlWw#_ZbKARp}?KxtQOS=Z~u9MsZy%|g+CgeOEMMPKliR9aCGF*#K!>ul8 zc@AvFq4j-yk0oY!$~Fuo{0T7>Vcl7Dcjmet!F{bI;=`;!!(oOpL>U>it`2O@!q5d< z%9h(S+g`0@tbuv$^1}N1D5uHU@vf8A>|a8Lg@x726cJSqm3NxVOS1Yj66@QQT~0>u zYOjezHMC)`&X()Kp|-!=-+76Lm-Cg${JhTsSLa)~xL9o9?GRe|OmTG8hW=YK z8$o}sRl51MEZURJ2+Avsl>dXe^A2ljTf042009MQB1jblLKCG4NTfHBngF3mQ$oi= z6A4l!2q;xS1?ep`Ly;C00wSW)kxnQIg7hA;?+og0XP@(Z=RWtJ>w`*GR@R!UX0AEL z`y1EO${IHUte)1NEvi-R#H`kz9(V&uxGR_BZo_PnyUH&H!$v|mw7j@;Hk46MJTNr{`sCAJ9+uSUd6 zeI+c%qTQ>dvchWW`D$pv-M#~a$n7Lx{tJqckaKSk3UGvzS z=VmWHy<|0Pt+5MkQB0kb^O$?yE6`n>nWQSDUy!EWHQ^wYl=aN=w#1fP)>+h|iOt&W zUd?wixas>w&!JP(@izoK?x6ClieN{x+gtKz+)~|nW2}xqVk?#<64Dc|#_C#F^@KI`zg5vw5v*b5zrkfv@=JLd7K*l`HPdD)weYT?qUx znp8YCam2pmR~-5{e8c%{-8 z$@ZjM)Zt`T63E9P01UPAIN69rxc4d?5z>9a_Z|Iy6`?mv1Uc~V=}}7tGhEj^;mpbi zi9yS7E(`+W4+U5c`SaBw;8nGo((x~#Gn^8^J@Y53467WZa4c*qrT*&GRNxEp1mEvi z;OI&Orm$lN*zfq{q;C^|9j@!@!ix+trppQWI zc-=1v2QhuQWN*ND8f z9=_+Za$-=jMdnOL=jw-b&$K7bsBmt9d(`5|IU>{+a^>2LKt4zD@9@ybl6LlcZ)#8ro(8aC{3Y7bNldPRmlxeAAvD~CY|P`F7145 zSZri8u$Ns5-4E7_T5h2xM(NLxY2#n(B}itVPf6a=3i7T1gTrknc$USWAi9%sG-DOF zWgkLP&s`-$$ycqD$$Jh(-f@6U9vIaLIIuAcTWo1voYoWz9sV}NGVqkc-?eS;@``I) zLKhqiIp`z;1#&_EyA@wY_R?LJ=@2_?iWYjF4H1%&8|W&Q8kV0v6;9|qo^f%XrhxN1 zihc?jUOQ|ycUXq{fl|%fK>=p3wG1I_KAp&re3AMGh>g2uJ_Q10C;laHF|L? z`p{=}Qx98)<{I~P=BZPqdrY9a0@Q`Ora;-TqF4R-Jm;Gr#Yjxon@vyANO;w{kQ7bO51#@|6MGgv151HquC3WP$)0cESOc<8-T}CoI740*YWprlH7f zT~oc_rkuM4icQFi3E=Ht9zn}nbnSwZwta6F1)@U6v&vT+JHUCg;D^fkh&u z+OF?YvByQmsc?-5Ktb5Q;saf(RMZ`(0`O>gH|HPNgRa*=YCY@})HmBmI{X7=@L~$X zxK{ZmO2T2MwB>idQxZ4;C4p>v`aww`)0>6P176TVb zB#of=q7j1k1X7%qN~#hRR)V@-3vX~kB@gELqx-7deu_o_XRM4Kyva@;sl_lJB8TAB zgs>Q+^Jg!VApl;V8>%(R(#0G+D~vl^OrUL?O)-mZJstYk$f`lPk4>~Rk3VAOxm>yJ z=p&9mOyM0}ov!()>t44`jX$)Hf$DEu;fQM@Z9Hq+;ragYvq|X3HYJnF4z+my@D;;q z>fX8sjL5eY6sI>YV;2ocvQF_ zJ^G$xlwwxoI*RC++Qih$>`tCN*OP=k-gIl{=4GCWcJnkgfh1_b)(u2oF0SW!$8Qvx z8I0|=D)H}L^$a^xpN3*h1c}D8mtxV}(Nn0i<}OM5ZeNF+D7uRc+|BejTockQJCBM7 zUMARzEHN_$qiLaw4yh*1l$lUZ4U}vu=;xJe)N*ZK+RQq8$p|nCK-}CO=JHSS(NC)o z061{&5YR5c3su(D*#axjBv#UNIB*nU%zze{+MXx^>!k5FZP&2D|nO;9>-^c2n6{CQrhoWDlk|- z{+!AZI=TnO{$0NK%a(%kRT;Pq-|K46JC?4nJ{D1W%1;M!T^iqIK7YRXs2L1!o<@Df z(>H(cbr<8S4q6U&Fz0tq)L=EnRf+~z{ zlPAmspL|c!m-q92uPi!E&HVNxM2Opj;4hgWWjQ(Z+Ba}?knsHJDPMHT!!jiSz~4ll z(4&HU8nVNLQJDDr+06hGi82#s4sC1IcYe5uJ^_=^7x_xZ=jC)k#o4Sjej^EQeBedp z#eL}%H;2I2Tfsr~ufl&SMNYLv=f}~o&Pu|{TTeJSBv$9;Hr;8LgugG-sGB{0o6!Yb zjCM#o5Vfwav%_Imxb#cY(ow`?fFEbE{os@2hOAb)EQRuj(L{x()dhirH4>-r#*Wft zm(ai4Dc%rJ7V>8g;hzHie%?)fKY9POu?!XEaXjw_XUtz8OX4mtE(kjV7^h743E|ez z57p&|2@$`aX+IA<#tYG#x>^zdf7CL4uKAg9?Y&ZC;Yb5nIKR4p#8!a1=5}>@=xE!+ zI%}IQ{)gt=3RsR2?2Y3QZPRsqpC;6}K;a{oGwDPkiNx7dg&_NEVwSkf8dv;Al z%ZHm*wp8`}2A`l~c_5UF_>&r$9tRC1EgaZ^yX)^~;x8SZyt4loG5bZyPOTevZne!x zAgj{lQ0lkn{!9 zT7uObYh*R5Ew8K%ZS;IXxBxGzztA0m#zg3qhfm}+hF1!ET5oQivK94&4eFDZifV4Z$S#}zhY`^@#E*vgTz6Q8{?X2HV zESA#P_K#bdZn0k8SpmDp)lnx5%&YN~z`*nSEWaexU(F)#4w02J-k+qU)y1dZeF={F z(y4Gq8Xu{H5-XlaeFtwnT9mXPdP8{Nz5`MiU_QU0%b)L=68^k|z2z5bJAV=$#3>WK z;4cka@r9jOmR+*~?r%orFD`B*8(Q#v?JU&+C^auUTPP?}_4BC2T+`qDxR+NYKe9bA zJ*4jj8B*z)5LJ^;;oxA@as$jEmcsl4CX9DlF8z|e|KWltjaERlU%28e6FI*lGr=a9 zD$DgR4Df%s6aC*gb4q|kiv32D_x#2cEVoNyB%Tcy!1v(R5yn(SVjvjP+Gt> zuqFQjOfpAv7Pj&W`_f-nHMqD-+L@QmM4cHC631JG9eI^}#nkRc@@N%a3`7_-Fcyid z&}w}FgpusaPv%QJGz)6cVUR2ngWbpMu3mQLDLkR3C8{gzS>naQxuyXAa}WRt;7`H8 z=N~_8poITm{{Yt>bVvr!iuzSlOLLOSLv+v~WjxBS!dbTrD3|B^woGI6yH4D~(+}O; z^M(EGv`Wu<=Gr4|bx!kTeOE?|-x%fl>-&}2hXTbE2>PHtBiZ@HWapU;b^w&e^y# zY_@E;6z zi@T3jj;tW_-nGD{? zsh&WOPdro=$Ws*tC*bXFyF_)2EN|LT&_Vrq+afb9epwxo?TQ31_R6=R$#xIsTu(m*(WxLOb5v?+^YwiDxx!@8lJd0)y_2cM%`-eQZI62v1*XP z{`D`&WhEoYuvTOwnfl=7gsoHM89^UAj4A7I58kO_$@a4o08)ar`rs#`!!i~Cr4p>@ zSd0u?)Gax-sNXtQ@B4q0-|wLhvN^*aiaR$NKA2#DECT-%`b;s-zg zpag#XTDuCgk{_sTR+rU>3&#LP+abtKHzp5>-J@Ld4_Ij#6<>g|4FOsl(oML#?)~(% z1>FHL5icI|5}hD_)?D<2E;9Sc4A%kA#NxGl_u7qD=2Qy#vwDtO53h`xA{$=XiQUtC zxBncEQNma3E*N7SzJdC@8Ej6!O&DZj8W>7cQH~ET;NWh0SQV~Cb?Q+5R*Ks4+H|4) zF~KSplY|&v6RL;RY@7T>ow7}7whcmk6HQ^*%TXnV^)<`8lSUkmg@=ptKKjU?Riz(v zbiJW(OHSDBm{;ERIhy;-7nGGUG|bh7d()OmM5QW2lHd1wy?txn8vuf^`!(dE}Zt7^jAi>HUTkr71S z;X9HGpucPZ-=4fNLg%63l#w_PjSZ17$K~Hi})TV?tKhXKQ7Cgs_5syy{Jjv{~VPaP~ zJW_?c<|w`UMZrRgHsWE&w`E2!n4Z5F2OVEv(h0=lSn zVlRRfqtM~Uu9baQjsCQsxztj3)^4-bfugoidqK8$g!i~*&d%|ywmkVO$Bw-f5sw^tKt6(xPjo# zHmb~{M~7T}55VFdHztk}-^fk??~)uS@<$Oa@G@e~QMm_Y_K{A4n(W@#b54NMv3zF} z3iQi;a*dkr2cSEfeWhb-P>Bf86idan%;@)%F{As*8YplXg7i{w;o%0!sE6q%zQ^v% zD7I#CHBEzu0Utq-lIq*23KnrYM1PHEEi8w7U&BcpNH^Ufrp1xhKxuOn-e=L}K7CYK z_!>ouL2Ra1AL_+=DSM|HW}2kC5>}Et=Z@WJv&gwsefC^NOBsPN-_+?tv;2G;hlS%} zprfDqSoFwBrw{CEbY^l~oJdm#%^;-%Ex_ZOk-$hx(h?Z?0vBq^8L?Ut92yUX#()** zB^Z8&4}&5`9x$e}JOZ7x{KX211x34C9z4t99$T5L6&)noEgfxEW`m1noyBn(pf_>$ zE_y(5E3K`kWJcE@sx}QFSru#j2=g+aW9k*P7~%tN=^1oZ(!zsiJXHdcF2QgnfKW^q z!9SToA8{L2J$`;iL&h;10iKq;tRAx_>{7E?rZ``s4D~3+=osJQ+DJwd+SFGK zw_SAZ3(Fcx3dAViU09J*W4xNbZAu>etB&}f&JGSfPG=@8!ER+HTUb z#?|TYWB{@PQ{u!7M;JD#ib-Ac*#1u6sQgKW2xfz|Vc*{Gv2a>rd98`K zy7Q@gW?H3yfBNGhBl5B+)PlQ!3{f7yFSjBIFZbf5g~cZqe&;q zXBR#OjRqLHjISJt_VTRk-HtAb*V2k+avF~0eAskG&|?bkc5lXKJ$efh2*0AX_{dgB zl{!w2AR3D93W@x z;%^kbwm@M-Z$@cgob=8wEPHI_lEZDQXBmy2!LwkXYL{qhRx8Coy)B{HBbwi0aNY(m`B~5{MGUl?{T4uIRP5Mw=79hRqybcy7ef@ySxhk~LLuyVre5UUJiSd3pI#^yD5!FiBwUU>GS z$Km(O-c;uwWGs;C%nBjOiy;j=oec^TE?QPbvbQ+$ZXy%{bw7DiV<4XFwx$M%)5u=)TH^pny78hD5FAeUXwcd_I5wMA5j3C{~s zs5l=O;<=DJVoL#LV*Gqk6vmwTG`FZ^Vi?H@wTa_L(m@*KVuZn!iu7SB87w=zTrKVK zfG88BPUUkJ?YpeyeMX11rpnYsh}CY$6beO!w7%f(pjmpb075!|!E-4Cjs#d<9bY%# zzej*{-XD|p$Pi21xn#%zvMT6pIUw%_v%W2)@c*<1l6J#z#ua%=XcLo=CLd`zAW+g- zT<+@c!DI-monlGrA?uR;rDaF4DkGB2-)CO?#(x)9B~ScPT6V*(a!Jz(Q zt8U7lj&?cw(aqN5z|!|}JiXJHOuGgJPo%meoM$S^LJay*=}KoUbU{w~>FF58g-{@Za;FP8vwL7&Fymnv#cW-w?{xU5?LVm6SqS%5zQ z+H7w|FCQ760c2#AsV?lA=QlT|nv}u=2FgDcVuyO$tI`5-wQ*i>Tw{X`;KMlP{@}y# zjs9(yB%>k%aNt0-{eGYnrU2xv67lm$*)Ff&eVfoF+IFOH&9`u)i`9ZBk@%7cqM2pk zWn=~yYAuA@6__O#0Qrj&Xn<1A9Uo? zyC_|fM6*=zPY>AQ*(xu`cVPVkY#35rc^&It6 z&uhIOM}PT@1z7=1TW$w92r>r(`a1Ud=wffgNH@>=4-u1`n_TU8O_d4< z`zbR12ygxqP+>3a`LD=?AL+~`iz9y$6hTUDIwAH(MAGU-XR$rj0$CCT!Os`k)5M){ zWVAbI*=vF}_lY04hSZ;8tF}Zq*WT*Lxc9Z!&89&LfH?u=@;xaNKp=nwzBmmktbRzB z#bg8pt}#V|SRT76NUA1_{;2U?o{DO+^7$k!B7VvPLR%WaKbS**mmW`XQ4gjLNR^08MEs}0PAt_Gu06s4`Nu?+r6%$`-+W6XeO&KmIn-;7IU8(JDi)a z+9Q}?8(|OU$(v}Z6SsjNGpzM!R*4Xh8P0_CTCPmBdd{*{wbs}aa|{&*Tss?YcLuk? ztYSZ2efs%|2ZC%__e}$VAR&>yZ~(?D3IHXcF(6rBVKFf_mI&_EV8gAC?WG}}DN`J5 zPV8sm+AFQQ<)!Rgozcl~PAUyidLPH>cfrOYTZXP>3Gb#v8G*`Wt$2eSWeMk+9;_%| z@kuIHvmKs{>tE2>CZ0s31h&XJ+a)1Bl$D$o(17T!rSHRe_h&mc9UW2?J$C5Bh=Ws> z5z^#}>P?cXfwk2XRLs(Hrm`kExkA07HNTlvBdR5sfPXy0 z@#RsU!fd}U)Gm4NL#xHwa~o*OQrFW)`AqR1W4J-6y$jR^I888=QRU~8|& ze3%ze^o(NHf`p&r%?t7_*|2WumlG0VjoeDutQ$uoY!^jzaS{WlXizL| zsAXquvVsYZ%Fwh$=C*m|wTUpYMY2Q-JTZ+JjNf_AaZoE2Y)R}ufKI~13SV(*>-G&x ze;3cI5#MfpX1#v|5z0n!{j-lp#d;~9G_es9kcNUuDLvXg_{J#lq<3y(s0bUj9stX~ zG}U%b_+qT3IUJbtto4pUR1fZ4e0O?beY0ih`s!j~=iI!T8>W-fDURLfIe)YYZ+`wJ z8~U*Sd{CCi*j|TvPeHMjFCpn!agwf#l1?f?+BKJ;pup&#q=x@2MC`r$(~D5*HQOKP zB6o#L+QPsnf?$2frq2z1{LStIMVZmT-;2!Plv^SDX9FER-K^C{h`jnJeCvReORJS5 z_4whIj)&ESfh|DO$^jksy0QEwzw{)7gG$*9h+7KJs-GWn%MEnIUoU@p_1)~LJST^X ztaZ@ZNK4df!h_+di0Ob2C!GE7q)5QRj)(Z7ZgW1|AS9m5Bq2leyOMHAekF?`tQv!$ zoc&CG&5BUWovkeRL#>cIdGGTsk4_Q_jy=;`IXo04FoJwJnRcx+^o`QjEM;^aD(Dt& zJEa6QS+xtt8y=e6FI|*05L1z7UASfg12-gxv-e>~pb*6}{e=?ha_mhae-31nSb!2m z;TBM1kL>}c727{)X`a-i#%cFJN5 zk}wY7Ogrb-IK9Ij6$Nn%m^{$C&;slX0#?uuyjF#Ayo@aHQPNa^?^$il8jymJ5y=}Ad5^LL25!p*YsN{-I7RNbA9 z{f%Njn(i!3ZHhtFK<>MzWm-ohpC35d-A!CFD!;93ac@AFpl#<-!I|eMl?|T#bA+;# zvU3rmpYo8w5qVcTN-L4ls+uriLs1(uG`x3wUa#hz2!n=-fKvy2qRTjORPRZ+- z+oUzUL-be*kieH!On0#e;r)`V;@V$m*CFO$h`vaUkB+Qul#~$f9_Q-J%+#pys3oV64|s- z$n{uHW9$~^suBxz0PKyj-aTg~5yO6P`P3vnO|Y{lyyrGy-z4?nBE0#J@p&ahH5qzKd>n4d7W4g{gF{aDP}VE$^)0Y!D=z!JkOr9@7#=7gev|9v%Ehr!Q))N5{yd z?bm!~>mXWPk7&cHQC#&!h5|6ax=qXK@9}I#;t_5)J~+FOIJtQiT&1M9ggqKr?d6|N zV@Dqi7`NH8$TpAO_w*+wWS{3F0h+#p$t>ULlbw^pfMk2*29qBWdl*wF25 zBIvQ~r3Kl$v|x24B?X=I%>8OMCaI zP+mQK$iuk1Z)V#|U3>wQ;WvQ5n*3QxyangYy<76FgUUG*Igs%xfJgkHb;bZd2@5AZ zSz$zY3;jY%{vO6orcEXT%K#GO&4V)|J@75nM%jm->cB(TWk-X&Oa*>)Oh99 z8R)SF$i1;l_hDpT@xSWqO&)>yVCWdq_ig{gE{}z=@$QTtpNlkJ`Bg(idWvvMQ{dC<$^ZAhchZ>_uWw9L>bS4#~h1lmc zeX+7Pg();?_A;|IQS2)Pgn^1)XcYS;nP>8v?~)0p9~AMjUc02O_2$>YrWRMF0<$BN z%|jvpV6bFzo(M2nPd?s|?*8!Jd{-OxGS1mqMT8_*;7I*IF^#h*GAGaR%9z5t#s~dO zMN?iT(_MO9MQy1HW^PftFX&x(B+?i~&>2qDdJSe|xfjDqJvap_(k&^ts35~odRUNO z%G5mStdzN$L09)|`rbpS)8{11-9^e!~AVLALr698y z@?fj&y+|gKSqr1vnt(@gbfs!F9l6N(CbI3}VC-CAC!xSvM`R?9l(je;TA8$B+6Q}=QzWkXv)l~Gd3V0#@FsiuR!`vod zJ^?=^O%Ax){_z~SvQfexh%`^V*Qw^>&UU{@GpkO(ZrQi?sFXAAT9gPlU)%e#6#)C_ z9~?Zzcry*~<7jp517 z3+j<-!&|E#eXp1QB4MI|FiWpZn?9$xe;Hj9|)QGph_uyVRgCh@uD z?9qdM8L*=#gDI~$Dp%PI%tZ0#dK4>iBcjZ@&2^$2s~g4Ztu!3GrQdw}f(=hkJE)70s()8K~rT85}QM2^mZ0 zSlD>-cQ?tKfYo`P$8`nYF54h(bNC0eAse>ZvkIQaiNcZ&xa>vy<(ns!AG_A~Zdgzx+phIc}dDWD(UrYXHVfybBF8`_EV!7z-0q zORTI871w>dd9go>hIgPM_xn>*k7)<|VZo`0B)vgP%eEUgKiVM9XCF9!y21_(hL{-8 zDt8!?0_s^@IA$ub1{6hF%~9(TWuGtb6MB$Ca;%K3*7lI|>Si_Y8Evv%MBm4t&>)_a`A!?C z2)MF{J_3e0nm#2U*?PyCQ_qDNQ9|d+KwToH>akn=wW_BUB}|0~u9kUQcJyW;3Juvv_zq}(wM3XBX*2b^%~m0h4$%usu;d+r$4eBbPT+44 z!To>o;aZ}e<15)*p%QoAw7wvMXt)8^F9(kkjOyPHnUIYx*vQrxPK@DwDVY`)*r1`05HL6YF={q%wEA~`(Uq84wm^KAh zC%GOf2gZPru#tD`^({2G*&GoU2V#F`=;aPG>vKz|rEQr)^a@{;jay^zWxDYnNsbTM zw1}7+0Hd2Py(?QA~=jYk>pvcII(?YajK zIni%8^~BxOJ{8veDa;_vVaXQ0#xwUDMNu9mv2w&~W$s)n>-W2-T5^0lX|fHgP{teg zdH{HnwLG6^I;BzSfpdy_54Kf*SmS(kUf%Qx_2%?GmIBnffluMh9~RRb(P_&*EMPM} z1evQMM)=EiEcpk&?0`|={$)I$B+sJ;7=N0jKM`Uvd-yLl7#Dj6{#D_nv7!a}* z!-rTDD+oqOf%)K}F-U0v8K@2*#>JwAh)yLrkrPx!PPYE=a+m-w2V`N(oEwZ$@7X`V zfJ};{sq8c~;edoh-`ztM7tW)m@54ry%N5hAwta{8?{)((NWUG1=e>Y%@^VEy-%lm>=rd`u_ve`(+D(jp`Z(~TD6C^^ z;av&K+2U+=^L~D+f|@dtZ@=ih+B>I^pk=CUUgN{}vk%qYh&+1x zK=eH}+WW|P|7h-ZVt+<|-y@vV^RNs(da>6ScY((O22_pcY}PhdM=CKbEU@ns{Bx*( zf`JAfuC_AP06l!IR^79J`ZIFhOZw97yTLC|ukBKem9BKu9}+iO77k@uqZciIz?u6d z>>i#^tZGF)G^K6e&1BJZLq5E?BfjPV4l8Lg=UkaEqQjk9NdKT&|1{Iv{itl$Z@UXb zVSppx7V=nqnzpk-Vl5-11iZTEp`&Tg&8zm6LbL>Py$MF70nBt(V&x|I#7F^32E1B3*1};e z=pQ)&=CCe@KdAyAbp_6f1w)s0QtS$Q=aSsJAd?h&q;Cqd#xM_&G>7y}YQJ9krc-j0 zxzHU&A(>5Z?p){0Q|piw8o)}Oe4eW!5-q`$o=AfMjqw1D0V{JUM@#ziep}eQVxcSG zD}amhPJUFj|Gv7`_&2d5YphcXcik+s<}sqUnltFR*JZ7M-uxx z^qK3`N`M~^TFcG!jCb_ZQZssqdT;YWtTSSALdv9?lkZ7?h?qB7x2gh(kc7i48@o1r zfN(K3va`!W{^h#^hp`72u2?EYP%6lHbZXZVY}*TOC?LY?HhtG4C@su`miC~IZlK)3 zj+q=e`JFTbc3&$|a~KpLEh)tN#@6nDMf=F#;>USQ)#+`AG~Lx+>^Uvy4` z))7)XScLTL9liLqFVq=(PI6(85YNk6GzAJCZ zMP%iX3baa_+2#7>r1CtL%S^S?zZz+EYUd*AVssU-l1ZX^+5*Ls1R&c7{MAgL@K-az z=-ZcOqsM@y5%XIt zM)Lddh7t`fhSOZ?M|yHq(T4l=%J&6BH?NYa)!y1&p+jYY4mE1Aeb;j{j9f9kqYr}Z z&Y>&=R2tZQy2X$e)4+RPOSGG|`m3-o=TE@_+8*Cx(WZ@uxdbn}?NZvLf_Xvx?yvvHna`1G z27~h?pgCIxXbZlfV@SFwBP|mYKMML%KnMrh;zE>sj%wrmQJ>4h2#VW(Nd0g3WM)uK zd}d+sj|$yl2GGjzy=vid3375lyIFuy8jYOaUiP{Ap4J_LkK0uCTpszMjUOf(AeHzu zftvnDS#T^U=Ld53VJFlwc|AYk8Ny>h(Xqh*8U?(XD{%7WNRy6#3K5}GhV2OcY;VAs zzD*Yu0wtDZXgBw}3$cGQPX9-XpQ@+-+y*wS#SqX!3F9C2%Pn}}#o&d-^%%C`ey_Rn zKzfh355#$}XM?b8jj`Jwf&L6C_Wf>aK$m85i+a1B$0fo!$QFpilAeR$NNg2ypIUsg zG_}i1OLv8O`y;u`x(OWiuZK^PbL7cdeR3n6elixdB+$np?dFt6#hz@uM()r76_9Mbi0|GuNCQ7hhO~KFJWJ% zPV6^|Ff1Z|%@(&;CVqur%@&a;bp<-&^>ZQIr^bCYZ2;41mSG3@!Gb?6exO53Ue#klKO@rK$1TB8~YWzAdL^Q<`-6EoWO-hIrIm$Vfq2bwRBFxL` z)l3^`hN_=&+xm)MV6XY%Q=Y_jkxq^MxNt?6H?hA_(DZ|D`Wx9rSKq#{(Q!_Oqrk{M zx&l8UJ*IstWU`&(s_t(TF_TBNHa{HH69!z%LF(MOvD2R^;!}sMw+GE@y5=5A?JT)9 z8}shIjU6M+Cvv?6JKcW0^mKeZb=$yH!9e3}_Er07%j@^L9t!3u39@D_)nV)f@u!!0 zuv(<>M;PHW!G2Uq+E(Fd!Aqy;#89E4BB0Cl225bM*Ai61ZCsj596%L=7FjA{a${F= zm%v`)f+k_I)$ilJPwec!D^)h&^V5V5JktJ#Rqo9Tj^z}o-`QN1YqjjKKge8OUv z3P%Q|?YEgA(|1;RvoBl)y*bhlIB+GOR4Ud|Nz^-F9c&-M8Fs)yc=a2FJK6Q{$(|gU zh^iu=0begdhv%RSjWls!0l?^z6uz^#BtZu}sAn1Oy7UHD8=eunbw)B5(2euaPV$d1 z)c;VWt=x_OU%W*oegg^TUxvVn(=o{EuU`K;eQ&tXiBRb0b?`}t1|*`YdtO}7*DkL3 zsV4K~#LqQ$c^N*Sh#g5?V7}}9X8JuYM?AyH&p7dXzLAq~KLKr5x^?4&zjZ42M6WcQvqQK~l(*$7JTRERR!AY1kVzKb1@I zzgqXu2pT&E$4jNE(i=2AXo@;vn5Q*iu&*F5o#IFkJdgsP3T`ZZ=fL1q1j7B8DVH1eowS3aI)EF*QT`7^~R>*8w{Wnhvd z7pPN_-MzE)ZcehwcgZ`Gs+0-D-Z~}gn}GR!j)wP=Ls@08C!DD0 z5Of;Ao+E=j=EagD#5bfa94#g%#4e2NX7B9Elg!RFu-662Y)MaG`mRWp5f0t5tk2$3 z#l=#^uXP=Bu4Lq1k$(bzr|(xV^3k(b{-XJ*nDA+z9mztJ_#h743R!J(Y({sly3j2u zy`YUenW)()aLxTD7dsCYI_7249tjiuGH&(JnG!t^7QI_PtMH20!5J$Ge2%-M(%0ON_o>~5sZz+3I=*|QR~iNkn}r)N-n;@f zUqg!R9fuyGRlK(+d*XaPooaK?{`EXf)CniCggQUO>$SG0h_C+3L;is&DY2I_JQea0 zbBdB$rYk44q;%s>NxootP8I&78{8c3QnTc|)*PYODO0shf!C>Dp6p`vKyNbC9u%pT z2-+!2$9U>b@pyB1IkRvpZ3ICy@n>-X=HV!kf%h~y260>=%?1mCjL(aivM)k{8yvtr-Q2t zKKThNu@`VS>Q-|fy4Vpf)JQhy{g>zQ&Q>=E7VsNzAS zlM|Y=54eJOq(M#fgv+IPT;uA5i*6ZKf%8Y;bl5KwMmOH-(qHcmgoBbMX%@YN&Z$aG z@ZA`5^V<%E?|x!`0dn^G{<~XG*ix(yHTS0eyIANy8g%&$Hu2la@TrtWr=l)PtumM| zk(a1ORNXQt*%5_5+J%{}G*r}(uBu>K;EOL)Y9wrWAxyFHdYvWT-L|qTYWZ~vnhN^jsSD3bE0lc3 zBinB0&j#4&KR|pI<|{sR(OTs&bx!?-bNZ37JM{3xRl2CpnD6S7!RGu=CrnQu)%SaZ ziXqXRl^FkZIMY!2bVqEM_fR}ulPDvi4X@ic=C7AJ*Vpm6RwfkFOgtpv}P-6A)s z+#7j*aWXH^TL)|qOxvwylRPxmP>0*34>H)2X{&QO*iTbhSF$4J&PW*CQ5VyRkH(z! z%x_C(q!EZN%|6vfsB|nVb6$)ar_MUGnK}AMFz?$0IyZezQrYT{itvG2?o-}$zlV`m zc<tb836bG^e&6^!{-IvhIDWU``y!lCKsM8>jg>`M% zZ26^PNC@t+M?m8>7xwAO=cMw0J7zD%LC+0uE+%OTw5pz*VJ~T(*OBk93eH^S%eWvjAAR~W z|G|ABz*&Y)$gej7&d8udZ#sD78`dw}C|TKodCYyW)`>Nh zQ`C*`1ndU~Y3rHT`NHIy>r8Lx#CP{KNEIZ!)&?*i)%<9YfA>>baLk}Ic%AP=Qy!eY zI5$d|b?5}VQ~2a<-TDidTZf8s5<48jwdv9JyvzO)mm`Rz&Z4L;RUx|y=Ueleg#1%^ z+aDi)PrZMbwFc5HboI-{SKX^8lU?Cm7WHS}Y^u4Bnb)5*VKAbUDjrs_tBlmjREMe4 z=E>!8Qc%63htaXXd&}u8eDBCjnxA&R0LiB09(rk)?~|&z6M0HmKr8EETVC-OG!AsN z=!XmwD{6=sk36K0Qj{KtB6^8G$y=P7TfwNHZQUXUlIR!muF{9izql$8;}sw_dE2lx zbGux?LgTqrU*449fF>Z4zyq!CAXl+$>nAUFJ?PaGUB1x$TtzDCZEj}G?lY#sI|-|w zB@ueW8G-z%s8;4{+=&#{ntllkwX7;%YxMBBiMtAi7bX$doO_5kX{CNgo!_chc7~re)ihfzgxW@7A)8$OO!z9zCcvq_6OhJ70tZ zJuDDxbA~=JNw!HD2>V%}qx(}xoObz^+}A3eO}4O09G5bet4Z6WGVp>yrDqR&WXp05 z==i(R7{8#M^D^-cbErgEvUO+jN{`fArIKXuhQ=QcW3QUq+}jvkWG|p$*Z+rqJ0}cNYYbI8|Ww{rYA3yn*cs+-3!i`@HV+ zxnFzHnOM9l2^-Sd)W$$8Mp?CrcDYo|YU4b$DkX~yyV3hXpN0C`- zpE9*8h82n($#|K*uqcwm^RBwUFKe*>;n*!Nug z&2wghhotEyTJI>_n!Njp4GoW@l)y-KUOe?Fhri@Q~eu{$i!SaDS z^wH?t3@nIhnIqqY9zr_sCa0>k5=S%$g)M~VQj^GjTiO+1wHv_~Zq-<6zxLDTidEZf zy}xc#(bz~^&*jo&S`q*p6ggLF%V_KSpTMpp(l;dY&9Y8YZ%OAs^8XKYZvqZg|Njq5 zNhv8A%AS;+$W~-RcCs&7LyKK1YZxWlh_Qqs`!aUf6`914sO*FcgUW8~%b1?e8Fk;? zzwiC~J=Q+9f{3WG{5x zB)Nt0y4rxpFh2M3-l+6k%7DnHSkvIX5vJ&j2;FSiEKYav9R32ZV+GuqwiZ$Zr<%@^ z=|knycY@#SK|2|qPHb$ym?_8{BTtoo6sxl&RISx&-S@2E)VW6f^cab|kHwZ@nIg(C zmSf0j{>Z}yA{TWPosP8F&eikB`)q9=X9B@PiuyC+ArY6Nd=0V2+*?^$SWomG7uAh; z1M9_Z9|LDyWR6?V=mE~P+$oUUvo~2=z6@W?`{E&2wxfcBYKgg_pW{FLTDUSoa`@xT zz3-{iCaMVwZIrPWH}kO0%o4n|VOQ-64F{^bK`WtVQIERzHit)mBPi z_+dXV*j-wQwsnLaHC9i1sNy9H zFo}3WfMz`gb`^gu?Gy(_5_ac!Sis|wJ=&wWqZ;2}pR*3`FM}2l>CpYFUc6YMLA|tv z_dUs_rt4*nm-X`g?5xLU7X0=yejgKUndX>@;P?I(A@<;-q`ZTGtij6D9;3p_;U13i zv6ur_24?!YOM{ip4VMgtd!j>{DE1fBOD1Y&Mw>f1sB}i8r!}XsXLYZ>a5gE%c%Lo3 z=bACluAudP_`{nT&NwL+RH&^(>zwmwWsvh+cX#kbg2hLEwM&k}bN)kWRrbtf z>PHKb(N7nD5}=eRw#5yHxtc=K_}fU`vn%W8A4okM?< zyYygb!Msm%?JCC=78#34pXm1$?v^1Al9G9oUx!WIliG(AX{qP}h8)JegjGot*k`P3 zrRvq8*1Pse&b;puiO^X|lLZkB!RqgL%UW)#>)Uv|AJAfmHBFX#ByHF8J3u2{9mn(^$}(rUcRHB8HeKb01#+_nhvw1Hecm=dAav<}qz}AG0Nb z1E$_VDQWIu%E$DLn_%|EzF$hTB0g$ba_K|v9-DNlD2h1(UC5e${~*>bTfnn;b3~1^ z{CoC~_u0Zc(_MX;564^9t}gDy`gd2iuu~d^i+0MEXWAciE3G!Lsk5}L3%Pz^vYMlO zP5;r|ofnK1dS(X`t}c@b?DFRSz6 zoYu}dHH)cYvhmfev9hgUD|6H1PHdVLTo{||*RE@Bl}drS5d~f}MLf4IB~Y65;w>Fb z15H{FSV+Pb)FeaFgJmvft?GFv3SC|0aAZ-qOF^0JR_U%R zS+MUMwdaBK33TpTmmUVzI1uHuV_k50ixkVRM2rU7 zI;rw%B%eC_^!coAWdN&^tk6Gp z*{!0}N*BkX>UNsN@I|C#qC-|P$!oBSP=ljk!J10vch>U{DD8{l)i!lTR?Dk}J@_q^v4xD;~&)?n1RT1^$ADrRM*YO$Vs^9V>3jXI~_p zW?aYb_|HaNn>A&>U2(}lWvdV#EdFjt?q(@Vfd4nrv#x{AzAZO~5_dlCoa>T~ORy;A zr{lVmi^!p|Jey=$YB>2m6_lqn?Y*A1Je&PA8a=1Da}FrIZQG6fTDMUvEkRy!)X&5} z`0B-7YGH&ovcvFh%SRFh@9jEu0C9v8K@e^QV@y)=h?KMyd<_t%?F zS-@!ni1awNY~MmY8qCJq0Q-FlhlKLVsAuBoqy_jQ-Hzy})iGP|1SJlKdseIDb-6Z( zEtxOR+!p7U*j+i~d4-J~4|u@`wP#4QFFtakvmyN%aRc;6d>q6Pq`Ph;DQ8nP43&Ta zB*5o^BY+g?Y$w!tO6^TF9qy~I3TYA+(rVZ1c7sK5dQ52U?*`pd53Gr6gDSgJbqGi zK)wWfF-<*jv#*A3cl2|+S~1VGy*VeQRKi1Ne+I*S3F zRcZwX1ht}Q+y45(fF=&E4!RHdDZq@)y44?X+sJwWBNE6AAvo2ddp~vvY(iwBd#sBO zjy3N-(V;58Zp=|=I~GSU?AEK`j22RfI3jn_>X@b@WAfp+pAt9!Dx2 zwhT<^t=s_5GQjHKmXM!aQEkVp&+#FbR9UZs+Mx?2gHQ43M7_tlul-u+f{mNxhb49GF_a+*H70$?UXlyVIjVN;| zy^3n(+}3J}%)yjbL33d#TkjWIt7gh0F@j(m^4{qwY~47qO@tU7NLiga2gZ<0GJ8Un zE0uwJ$)eG=;G^4z`2H7~Wfa3tGlKTcrXH3%XvH94e=(@AUrJwDy;+@#!B&TweH_CI zHK=Js`uf&VC5}p)adGpyG#Ir)qD_h2misr9_)1F#4&lk)p!1FeM%!8|ZaiD#VALx7 zxLt+6azpoG1XgCH0h|g-ZCE>VO8F@|)rx5Y_wO^O))+>O&opS@A2(mSKNEeXV(g}o zcnp)m4|{ckV~QQNZ$ZFEdXcSCkUet~g@HjvfD{Fdj{Xp2gW)sWr1H+69d`)aOgJ?p z7DVhXPN-Jb#f?w?&|+b@`TeRHb9xEKpi#rSdQN%MO}S=1!&Kv*d0QPtd7*KF!%qsS zoMR{=qwJyP;}#;SUH5aJ7%{fG6lz>hkH4!X;VE%@X4@G>Bi%UyH3aVzs1EV&jitAj@4ilVFM{*qlg<6#4uKanEbJRUH&^ED`DRH@ zFE3kF{7oCBv;tQE%06oeN(jZ}Vxas3Ky5iCVdh$LO~bD2j+mQlz2?EWIpF#cnl?pj zaUH7y%O%-Galk=@ZJH+%OaX5Z;P}+!nNKzciCjJ2vb$^? zPk2{)bM%`TeB$^sK-ue?&RU*nfx~s2|?Rk8Pl4ka^~oLuLv~!|GAZZ!DE*FpDY- zrhAFTljSwNh4o?MaKcNhGY(%OjOZQwOj5yuq87et*xznxxGVIyK}C$>wt(!7c{|C& zZf2SZNM!upDXh?v(Ca7_TkScl1z`gspBVf4L#!0T92agzpFKU!05xEY_Po?X>3JqP zq;X9}QE_YxnF$7gNG4d>NE_m^@Z(w_Zp-f`jPgn3CSF=K`Qe6;#jpiU!`@As0&k9E z>-gdVFQhM~8s-8#Pr<_1yZ>Q;;h`8W{#=H6KjdW5yPriLFk8kVG6Q6gvlDC2#<~jou(;o z|L&*UzX=~p+8QS}SPQjRx(;;FkhI>-k=#5MQ%qLXx4gpr-GLVJ3iE*X0GcE79)Q%J z^+D2BP&VHbst)2BKs#W>r~vA4$Or=JQ0JHmXres(lBo9c-5%3GJx<-8_4~dxN;m_& z0?f7|a(^TvK7js)af3>___k;lnSrqpV|7FzC@%L$D%5v1kq{;p0o&f)o->37^n-rH zLdPw8Q}z@lcM$Mfcc~eXNBeu65#&X3ry$4rHN@@-lLlNQTDf-SEi$9S4K_+!6p>$g z6Xh}Opgki^VDNHN;7JfSS!L|e8#vVWHG5(wHsq?g|EI4Y6=4j^AijM${mKK{fC*eb z_gUjc2(qw^$G(@zS!cw18%uW`HvxIo1r8ZUzkZ68xqrWLT~pz~UqpwntHDEaL3 zv^Yg|gc&&RYQEBW!zT9eRSTS<{B#w29Q-l9&;i0^EKaMWd=RS|@0P${fG@MJ{u%~| zvALs1<#J^mPOcH%2;3mnENrES9T--WtL~9pQ`WslWm4c1sy?sfadQqLS)lHE$^~Yb zGk`X0h7CI)`_;eNB!ZV9Z9c&<9nS6MHxR0UG-sIP{2ta}Xql-@%Z9UoPQFUh^t6yjb zzg5Nw#4jDW4uPmJ&@p^u)sj@ajpFn`p2xoP_hS9>zT|a7=cMHoyKHs3`&Mq=LhB50 z+Jgu=*z(DbN4s}UFq4@dz&D~X9N0KWIIdd%<+4CNZy6n^{D`d-ZL9jkRNq1BS z3}5`D08tx@)QFJ#R)A0f7$UpA3}k1B5nMmJ7)WXu`^rSRX1oBtD#xr2i~GLHNZnYq zf_x_gOM)4AmYSb-e2QP(5^L3d!Za(-W^!cUizUUQ4%yh8Yg0wEvs{kPQCphX&zMFh zTGmV+V(sKuok}P)QSss;n>Ro+;Gz2^bo*LZYz_iga^S8vKVsMCa!d?{*Ib$*7PF`)NC_=6HryhH3 z83M`oxUN$T2B9_RH*#4Sd-%sucs0R2ky5N=Oze40UY9mgf~| zTF&uI-BRM1O{FxeT6VfM!rei9<$cdCD3D!yG1e$ebgMCM2W_rnG`NW}uX_GHuytK@ zSmUyJ>v@B&fR}a~B}7L4JWsdH%DW6$S6CEl*h>4pjt=A&N=kc4nO8FGqRKav^W6bD z%5dGe3I?pFE~>TuZf#ABvr0RPHA235;JtntOWL`NInq_WLq)(wsse57IP+!xyKP-5 zAriCbkDkHum--ud-#l?%?S7lp{Gh?9JW>YVcBFvn5UMSePkS$CrQBaUv!Syl({@N-EP<{3J#zr;D$M+3f#dYV_o_-{fm?kF&Z|O9k<1abWxY9A{4To>UQX1N?;aSFd23GS zfy|IG{7I3XuuF-7dH!%mueU(F8AG&^)jEO9r!j2&`=jCgILee-KNg$fi|QQf5BxUr zKX)Dk?~AS&n0>{4Yi+{z`<-udc9v)y6x|6*M>XX&E@Vg7Od5| zZ|aHFWqLi)WhoK-BIjDrCFK(O^Z?hPtMFTxN@Qk*1)rdhp&9;_!}IJ*CQG;2-VZ&; z>hA%}h~IG0pKwhkGHpR9JH|x#w9d_tg#C*XydG2CFomyL`D%RC)j@gz2XE${ee87% zSumBTRr#ozX8GPV_96mvYz_AYKKBF7;!bpjO~G4+ez$hRx3>&}o8z`tL0o)Fw=Sl_}$JLz&@J-;MX6d_n=K}GSXzj~j<7*RP-)_+I z9SJkNun~I;{npI@uib{={cyC!VX?EZ8h!fF_$JG9*T+EPNEq!l&$DuZR!SmMY&3(~$!vxsX!U_wnw|V8|!TWWaE^>vS zYxSeIuJVV|WLAfPs3|HPKCKY-1*HA3lKi}YHky%J2DxEVF+kxw#84?;?Q5%qL#4{PdYSC_$5_xHi!(e~Yfphli`8 z_EVRx^kp(UZV1wrUq7rjN_Futl%sZ^9jNkAhYg31zed%|PRLT8GAvcirGWA0Q@y(?N7L~v=)~obzKQj4!vEUHC5}2^Zi|bzIg%|Vx z%PpV*EXZl~4_8)i{))XsT%uhCb5!;D=4Bj`d>sZwDo8lN;<_H#F>ji4PKwg9} zSPh);-e3RJ3m&Q_U>SkCxxme#4Sbb_evsrez`F2NBLXgOqy9;i{GEaFKYnjIiz#(L zbCTg6B) zo{lxa#kV$^&}G#>ta<+Xua-%IP4U}etSP`XcN>A=8EXg} z$<a!_sAK!U7;^;N(V%LY0YgIEMp4wJiMD(3s|-b$Nh|shXNZmZw#)kmkw*}~Jj(dvHyH+) zk+2NAAi$fF1Wqk0gV#gnFDx~q-#2Vk%Zf;x-P@|FgwdZA-OM)*dTu;w-26=33n5LC zX6)Cy`RbZLX!VY07D0-{*#*HC5I`her=k69CBW_$8Pge)f96P3`zwW;m6xzrr5!|S zuaE(t#&`AH7NoNv5q98g9w3L(P8{c|QBj)C{B97vNHuGqfCC(g9*C&f$POb_y`Z7{&N9-vU5 z`@2faaNtI-$^tyB0nh|gohF5Hw>le0MBsd04c^)AKzhsF^l%7RA%jb5)PiQ<1q+18UyOU9prwYB$clS0>246tiLk+&`#K-$0-AK#-{HNs(|^V>`1gO;}%_tCS zQfgh%GNlYbQ{b%U`^Q4C65?IeUdjvTC+t^u&fJDBLXZ1hwl0xtEP8&KRb3i-#EUE(Ifi=jb7ONyz?_EXf3Cha9lc_T9&l)H~=f05OOuE$wL z5Us4<_rycUB$B@Pd_at9uRvG2Q~%B&t5sl44xO?Ri>sYI zV(-;cLVy66JUS3r&KU3|ds|K30E9QQxPIT2-`;?-n?cQVS27C?Efu}WlA&J#40Hzu}1TGh3%MM*_;iDy-xPw!RFW6aY`3O(-}x}R-F%j;3Ry=_x+Vc8?wuf#hZE_BU2xAc}_ji~W+ zYl0NlNMfqyes@C;tB{?|ox!FI-{nku=G(D0l~viV8}6W7b=zyBK8&^EVwz30ep29k zMGY3TmKWj|nD3kQ1WQW?rHsWeMAhVteSAfv9@|KORlM~sXnP9lEyQ}JrwD`kx1?b7 zT7O@)j^J8Xi>!zxee?7OJ3Bl1(>8p_{G|zb+RGO^P(R$1s#rmJQf?bzZuAuZ>!-ko z6L7xkup);~ZGlxjA!?kjr0lmHNz+R{mnX>d;b|vlZW6nYlS*<^~p_UqWM^E zM8amaYbDk#>-=Y3g}XllFn-%BSZ6x#+`(&^AMf3Xx)O-DH{lmT*u`qS6w2~}KdUUV z(r04qYokjz+IsR;vTD3NeZh9A?v>l8LwJ8uSih3W9ZAeP9DQcPlQX&D(nStmJzVak zdIGYxhaKmIwV0-Jt+Od!rLGngJxrrm^Ne)Hj?_ipIM-Z?S1MpDFl3A z5wzFERBQJ`iv{9~d(iJmg?1%J@0RQP)!*K--s2UR-#bHe%OX(qy5J&ljm%25_%(2` z%0aX^c5WSHq=;87gbyu{+U=cjOx3uZXI(?}BCeQiAg=(%J-qzJIV|!luOGb;t+-R1 z#`e(`#)I??$OV$bRbtag4 z9)pB+1#d)6Ej0D7=J5B5%7;S`j}Q=VI6Z~}kU>6f+9J`da+KDMog)&&;|?2TrI5bw ziIxwrQ?AHa-F)HoL3-~SiELWOWog1wR%`33u#hG?P@$y@HGW5%oportW^~^Ikzoqgih;LzKP|sm52KZcj<>5P}DfC|11z#FIGPDw1{+8Amz& z?#Na|^^!k8ZJ}t_acc*JEn!zIx*wx5hycMvj1Zn;bwFmt9c{@$Mt4AP?3Uc;o%M}W z0R5Z+YDg7uKL%?a2lajs{|bN`LVwZ@Q&91$;%=? zp`R3e5QGHU0V>#S70k!orAKyHZuT?@06+^;uI>k-8L8@0mg#NrK=c za6})`gY2a%K;=pYwG*6r>mb*q&laCoTcCxRIoH@r_pYSQ1WbUb^z4B^T{byQ;Oh$j zg-1UrI@eOc@B3j;5*A<7hmM&H@2~>t+TTkc?b^0B_{oLPE=c|6hh9Petn3|ko$wBa zJrR=U-T_KBE1gP`HiVfSQAlC(Q|R9TLk+Y{9cujWZ{!FZdm}AaxOowTYv91)sV=_j z%pRa^SA+`g-~bx6vZg-vQTWG`&-`KW`_7^@Mtc_hl7}1?#$!(22n@YY;U~USxOW^a z^l4>_e4g)3U3}nVz3=`s0w8KY>3cfO{!0J!_-<*P9Xi__!glC;P6r9#D1iJGnu7>l z0lH6eU0)W6huKIhz-Jlws{;RLXfWV59KkI)9uzEr!!-EDQP;C9K5oI)`u^xe6b5qUL^n*cvzX@pyzB5WChw z@Pe#D3Ppvtt0Kp(PRCvO>yPrMQoa#>D%?&XQ7b8j1KtU8-~yHi%Dbta5Hz0aOQW|<(;0p_p=YeqIsLDTL@wZG5piU&ucov-bS-^ zdRMQHb1|Gze|gGJ!LC=eH#wCU>6MmVLC5WFw5<5DHnHvLI%B8o!gPCGa;HXLqzpcH zVbeI}D)6?ygF`Ib0?(cV)yo#3E^l;g@XA&@O;48YIbL2qsO$)wzIY9ZFD1KG2Q91J zZ4W=)fSJg>^~+HSj|U++_@>7~{n5TNtBYg39GkPMB$$a=uGe{JSCo;w#lRHeD7M9#KDoVB6<<|Kp)M0p==ZQgB-O& z9D{nh9(C4#om%8I|-qti}~=@Fk*V^Y)rjZq|i-t zbyp&VQ;PTCmFRJPi+z#TV&u+{j+k!}efxVOOaz2cADGSWU||Jc2V0JZjJdjtWxDFs zSqxCwz8WJS5|9e!a6#FeYX6!?@{Hf7Q__#Q@bzzht|aW#>4k8_v74OUp814c%LF*U z6R-R%M4X(7zNN9)UegeFhJncUGWa6+q;cE_k(N7;F822Jl=t-GsSXUy5~cIIH?=1p zlIH8cxYpjvMpiR{^|}mf`t{tdzvJtMv_ zJnHUw)^gbXg!L(qw~!X4W;t^56u*tF-OZ((7rFX<&*i7KvB3Nkx+u|RIs9FsB zShY?^!rev3EvW)OtWlnQY+-)DtkA!t5$KTB4}0}LyzA}oNqjWH_(1B1vHVMa;zJ=q(p0-XAo zniGT)%JIn=8{YnEO*%_`JNM`e3w0o}E0yFs?Dmr5ISMX-JFxwI) zzR;lqUS|Dp#7I)RSA6mo4acS{xYa}h*JS!`jJ)Dc3ZZqxlFYU!-qiC4FJiKOfuw@C zC99zgaZCb~&!~NUeOPZqyf_Dh^+0kMpldPZN9SVAkEzK2a$D{FU181xRoSlB&-fUo zfhxB-RtrXbKn0|w0G0{xn+D|_N+8)aJ(AYk|M+4cH1n{tmm)B*CD$K&une=mMKo8* z;n-SkC!Foy?g3$v*xi)uzjC!bDCwtd-@$rX9t-554jAh*lmBRj@{pitweRAy3?NkQ z2A0%Pe^};%Qa$+lU3U6ofrNZSk<@N+Yj>`ej$ZQ1!R|*7yD7WxW9@qy)Z9h0?Sfd= zK~=&`bw%xxvzc*9Wmx+u>aAQu%&~~G-Gh6&Y3S$f8EA=U)zn{S=~6g$WH`$?Qyu)^ zP8#ndYy#V`Np{mt5!wC{jR#)Gr}L-Rk+ax<>k98GFWuN1fw;*;I;bNw+lq}k)>(b2 zdLf*<{Nn+1TjI-{kM?lk-komu?NS4}$?wGUK?HGazzL4=Z)DIvO|HGwAa_oHbkb02 z09RQv-zI5knR{$yI1jnG4zVbm7kl?clEbcJeR0dp^zsbzrT7ACp}3`ckJOL8CEUNc zQ*m96QTHbWOUVG%o_mS5x5vXcQE2>bZD-E8xXjWOGq2pwy;|Jh7w(iXLs=z7fF}XA zjs?_XF#&hBhV2Mt{`rH%%z3ExF0b<-G6bgK9`QhzTNfd+JU3O^ysnH2seQF6a__u=@UHN33Wc%pt2N1PHos2*%0&&I%>M z(MF?j$!d5LKHCG6@_JsVNo8=q*|4y&L_YLFy3l>yId?Q_fP#iLSe$-D95&ElY%6aO zLFMuHNB46DxuRx&6t}G)WYRA>O#a)5VBjAPv45=cM=|qH)bC%bsDD;I|6f?yK1h|F zvwsn*r!CN+C3MqzyX_G+sX4L{b~RilF*PQ#rr;A?tfWMO&9b|#PhjR^Ns%D`yQ0(k z$J<;V+Q@$lmtwqzxIKq&Du)aHcxiv}Oi@h0r|=KJYRMA<#XB)lD&4>Pb}T%SzHoBu)Rwi?PpV8D%x9 zye)Gi&dCtYcCr5te9Ay#l_M%OmT+swZa9U@_VmAIu zd>wnQ5tD3v->924M)^d({^YBY`$8Vt0$)}8MKzd;az<1&M6WQ6eo&*yQ)Vdx#k9qp zYC9e^jtJoZuuqHgw_iHzN+lt!q zTBjWt@w~|mUt{z>)gs*wgurrqsHjmPZQy=nJMy6Rfwq8y2=kK236*`M_9vyO8}a-R zORt;j&ATt1qpU2vdYJ)s|L_pqQY3%kALvzPUovV%y5PVPCkGxbA%!T)-Of(k!UtTd z&PicI3}S@>sI_aW_&c)?ux;Usklm2-4SYupvvW_@$*@1mSIYCowfVSrUmG3?dZkO? zSK+QxmGu{<2*Pb9F)&z$e-o0rrgo$I+iDE;N_M`i6MNj(8-}u~+dK@WH>eDZFmfSN zIf8+QmZc+SKG$1Th7GbL`5V)>2i{|!wB?4m2u;05lf)1_Ad*`!5^>J1s>|m*Zb+VY zBbht;Sb%k_+CEeTouC2ZvG0ERD*f)x$2BY8FzLwtq?kHOS-8hDQew}#&V4 z#{k8n!wM?g`GL_J^7z(wm~v94P2r>uP}CJVE}z&t@m2ZF8-B$5AD{T2Z#t56zlxBo z0T3Lkfy^=a8;i5sNhkS5^1t&91Jy3NM9eoK#Ki1C>0un>RjiRT{cI43rl~^j^VKW53C8lkEPD?*-XDYCDOQr_o0?N<@6_4uMIbispJui*Zes) z5L)s#&pEty$%;KB@MH4EhDBO5YqP4j!%-EHH6i2)C(C>FOZDlJs3NT`4BY^I(9?L&Lx&QcSDgjOdg11Nat)Dok89Y;9 z(R@g$>KxgJz#dIc(cG5^lXgBLJzzut76nDdEC&I&c1UYM)9F;YscS#WL8rcFgUoqA zLkPe&XH93?yN!-Y!=*2gLj6F1Sm-3gbUZLrh{>3p6C`A_bAs$^S$Vr!UuSV(rC|po z(@>PQS#$SQ@N8O*pPYMqv|=F~D2!efl)h|wH0#6#RE>$k#bo_r6kEjO?y$7tD^JB~ zmF~)mkMREUm-;t&{P&3X=kkB!@BG(a@?GG$=qNk{hdgL)YHhulS=fB?v9?{%c;2WM z=WxlFk|x}uHt{1;C@~WFzpr8WsFPKQ0)Ys$kQUzbQs=Ar@q} z=NlZl9~#*Ak~D>3z%nL-tnuIOKm}^Dc`eqxDEU&X2|W7Dlg)&WG%R?>mxd3Ho=HT* za_(jwD=nTdp0G#OMok#70b-GDBHNeP_QY9wHRFJxNcW-fC$U-qgW*X14Unu12jvbR z`qf#%8Z8guU{1$1jb3gI4arooM51EYeZL>S5av-_OPWVZYFk%&}nKJmH*SR<~+^qfNKxRu@H}@+Ck*1N1 zl0ogI8a$GQU2EAqfqI2K=MwF$o@eqNw^!es!iMt9saNa(psH>oM|%*TosgSL?V19z zqy&#IpZ((Et}Q(H>Sm<(2ot}*oR}=X`f)21B7|6I690f{z;cK-lAp<@wicE#YlMtz zYCC*Od0U_#mQnW3Go|y4YsGNEiYP}T?8>iI!b?qsnEQ{kDV30O(eF$>v?Ff{<_lw? zj}W%Kg4#f->KyNN30&i-C!JUn{4@t_T2_#5$6=T1AsyMd%9(GM*y=wv|D>44th64! zx&MCmW`e3#B3TS(4IHH-M4pR#!kE|)??JdL(Azj|hO7K=k=hl5LE0w!W#LH7{4$7a z2EZFoMgagX^IUGt>6TFhz6OZ4R5;Gt^^%A?2O$vb5s*@Bqyo1W#BZcd052qW8>p{9 z$M*0LJE1l1ZlKVS{9Dw7^>k$Z4`lLUr6`G^`oveNAsW>b07xI zL+la-8Mondi51qDyTxLGV=p8Q6GI(4Dv`85RJ|;Pwqwy{$a61Uus)s-9DYS-b#4pE z`#nMjD5>z0_1C-2yL((y(VRPu&;c4UXNSS)o#%_J#sc5*5frrw?c4gaK*pkzFMa(v zx4sI(iMtc0eki;mQF9!~#2(SIX&9#$tW@mEe>-d66$q(=SS*aNL4N9pQYY$z+DMii z9$TvgfSgf3(#HqkvSu;3)WssyBVByRU*H+g0v(lEi2z=>i%xPU50OK92N4+fUKAzJ z$z=hKf9gY^+XJq+lBo-y-;TdUF-UA(?Ixphcf78Ygfd^uPTgMrzW4%k^0ZafoE)xb zF?H!G$G(!#g{~@uWA6sMR~nqT)3$mQIZFWggS2tL+x8d0x1l7*39Ms(fp-jEV4nc| z#w8JxpyL5yzg=-+bL)^ajuO|^ce)Vs5S|N7vFx0&Yg-GmVh0!(bgfnLOvO{4x*DC_whqLGqQg z`{)mhA4{i3uRlBP+_qVn#-;3E;?b-=OMlKNI4OpRU^VJPeSAZcG-%1UC~%N?r2-39 zdzBWW((8hSw}z7PB(aQ>#NiIrl3tge6r(oqlZSp`@B)KAm*z7?}kD7CrnhW!YqNPqNOS#ZNmS>GQJ04EgC{Y#5CGjGs?YVkJ zuNaMLmQ0;UmBI#AG0Jz%vyohzqt(;@O72b&n zR!&a7ekIzhhc~S(TgoQi+ZXJxA$QO`WQ7N*t**#g$125SRS}_1aQiCPC*U|*U-1Hy zc~M;#`MQ7)Z$LQx?EpZ*fjEX&Z{c0eqbyhC;7_n^caJyDX}mj#7=0Fy+^ut!|*Y zaCh|rhNB!=uH>U!pXuhL@3>jSX9ZAHGxfUm3*MeaG~S5xVG3TKXU`CqHUQ@KO#X~QXBOO2DfJ=-q&mlsTTPwR&1o!=80qvhS) zP~T>J>qN#EC5eyBC%IQKYGwg6S4Li(5~$WSl6_ukrd9D(`I5pXnHtrV?8zpb)H3V? z!Xg-y3;~P|-2}9WJlEq)yc$YLMlf5gp8clPd({k=WI8Bg2uBWff z^}P|5=kr?&M_b@bahi3)PM_x|LD~f;QK%{M^7;F&OPHHD2GdJgo?@-o^T;7E|SFSkuo{GBphU46v`tvB^g#G}t{_RqdN-JCiE z^5uWIA@g}9PLO3}zzo{F>1!o6$ z1Gu9{kowz9`PU(No+WU2LJrLRXXi)#IEF%kK+_0ZYA|SM;1+t2dL(t|Q9@X~_Ah_t z;Z#VO2F$8=Wo-6dFIZC^gE?Tkq~f8Mxies8V2E*UuPu&-Tgpx8EV`t9{3b)Gv$bUa z3dCdHA;gVRRaJ+*e&ip!<8~ZKPSPDIexLz_M|aYSMp&{VR*x0|L3Tg@Az<3v`#PHp zRK=(SF$u+$A^DHOp@jfj%K*x$U3nCQNGSn@*Df|j*4{v{8EKmcsg$5JR-g_`@?St} zL69BLG3nY(t=;Hp!Tc5UYA!x=stZmA4r}}0g{x?kiWgd3^aZ{3iUDb>{5gHYX$o<= z9R}mg`eRDkE6xeqGX$s`++MnlD>p-|t{8sB`zB z1ZI+DLj6C6IMzGhV%h|#FS5Mr5?f-;l`DBmg4DxDAo1Hf)IHD$_)Yq)PD25C+z#9A zzvOUdAT`|ICcf81_B}{mJ@nht_t&J=E}R&3K4!yh-f>zIvx`DfvnBum3*tABNN7FH zK;C$FZ4_$)*!y27WmGHohVH!MihJtzLdhnGHnoJh2b~^)rk3guU=~Xj?+G*C3`KPx zBeJvpR^t`_spji&`w#t{ED!(!knMw_v3jx@r$jAuoLp zO1Bqu=o?L4{k0?BB7}(DC*HmfDr8s}k{TL~SadpPuU@Y@K$3kyqI&5C1X6;Cj|jcW z<&bz6?#D9iOYhY3U>l6*n}`xdak=(CDUO*3l^i%6PP{a^MPncTGzV7`jwT)v{2>9{ zq=6b@UaZ?6Q-dPeV;NXLCW{@%FFS0Aqf%r$?TrrUv%n;@w~YaK%qkFeupq~ zfVAum&y5Fde|c#CbEBmhRa+R~w_xpEHy!{^C^_00+LL%--I7Y2$eC-myH9-!Z}5}T zr7^7Tyyj0#?JVp6=Jnv<2>Z&~ZKT zF-E?$AerPmMNKZm&RBH<8}cjVbQ?HW4r;PLmTs`yphL$n@Gb`+&q6C^)!AzEq!h6q36jh$yw8)0!hcenJ_CV# zrk=zCawDkCDUn|*Y)X-e3cw0y|6Tz+l2#%nZs3+J1pr1E=Q)AfswyEqNg_F7?Qu)R z#3Udg>;)J{ECTFQhGd9~MNAK3mc(2)OJHckhuS6A9W!H+GI+EF-2_OZKloJO0G?!8 zG2iNplK-Wb|BIbaN3@mjRJep&PsQ&$sHess$8xOo+1-*V0rf+hwm`51fRwsu503l?bU3e+h~{*?+Hq6!*9c~bvHdHj}+x( z1UT+Y)J6bI2Wt=5_QJjyxesSyNd2VeXIOK2edLDl6~k5ua@r=nmJK)DDb7DX13R7f zgNyBg_RD-*7vbOSCo>-Unhu_Pk_4HqzEGR1|M2U%{p#I&4V-iP45 zJF{Lapn;h*_g3jxA*FdgR20c4V)|y9F|%%_im7cJe3cbM~gN1qk^2)LwEQ*%X!g!C-F<#j}C#DXcwa(@^djZ$9(5i{agw_e%^gR=&j- zM#;{bW|IXELMPQ+22}^dP=*ZfV>M@vnTVk1i-39g(t^CR_R7<;;m_yp@l(I(V0Ker z^7TPZIP$kP6O=N^rxBW{8*>n_MMg{?c1o{d>mn z1H!3CRtLjIMU4?3ik1e&osSQ<2;QJ4yw7h}yj#EyZ(H<*mm}@>ZboNjQET@0o;hav zArXIy1Lj}UENw{R3qqo0i4+`Y)tsKKUL3f2n1PrI?`={EniK<` z@^knX7I{)J(_4tCvkP00Ej{JtRvIb!tCo_BOX!xo1;Ie+`%@62Q3BhyHG3a5yX7j# zGbO70_$Ngf)`&yE-?q`(?Xc;v>-(dnTTi;qpJU^W-WTe@#yyTKz~=eeE4kannkaHT zwiTDpWY2i?5S8~STu60n8_t^g%7yi1T1<20$-2?{``+UZXDc7n3ot8uXnT7~S8puS z?kx%r|4i_Om)SF3yA?ROAYuMh%ln(aX2y+(wCd_$L+z?UX4@(Mg{UKKEPFm8zNcI% zJJ^hxe0D$YNt6RqS-j9EnXtznH0VtTX_<^0NyI|ECWL@sdil$q;_tERF()FLoG`ZI zF-bi;Y2G%Ea-)YgpH>*r-|co@_vYuvPCtmk9nM3Zv?z(6n;SP7i%L`QXFlE&i+3|H zozkQ=(pMaLN|G)>r2nMAe_kfub&KP~;=n{(V{;^9^V9JxG`j@g;N$?VXlmVfbDfZX z7y+30nx}NAZZuB<8x(am4TI&gk+JJMc70a&Jx}EQjrT+kU{0^>**G*Hc5A z96eNUeil>U7`t!Yv!=F>Cj{vaoRt5?bs>)G9#n%DhhgMmG^ z-47oO6R?Z_TJ7*5_2SIj?)k0C&Td@`3R9LG(iYZk*WsS zI%O<>QnW(1>z8gKG`PjpDcsBi;xl;$;3Q!h6EI67hzUK2&O#{pm+5NE>K*tJA4u5( zOqA@UuY-x!dwDDNg7^f#)KCAji*~ws~0dxvEPoJGZ`9 z+TRj|-^QIla4_^sweXKwkQAV4S7`*~3i;y>BZpKyBonO3It7SnANH$Ys4Bc0qI}e3e_2lbd25*jHZw;7uv%gmzH)*uuDi9cWP%c~sLm%_-gOb;iof>AB z5ko7*CN~Ydj4ROcb5<$5l`%sN{1>&DTUn8MSKL~!ndm;GwmEKCs4U9VBe48Ct?^14WwCGJW5C*Koc{a+b79X(n;jS;wcs7<>Yp=*0as zUhkkr+_oK%$G3;X>&g=Q^bKp2RZgRsEO{1S?+W#ont`mojLU(cJQ=Tdu`H_0|J4zy zTXqb&;zgdruHl?yr6M2N&1%oOO$Ax7Xq1fgrT&WS2L9gyS9#I7CBA~H*6g<^+m`9t z1_Oz@!vfdxc?M~XE`KB`xfs)QOB$NezGh#2|FkfM8xf2y#-rb^&mdoZ=K8_JZ0k^E z9PK|HMM0$^5;QQ+`v9M4dEZ&FP3)t0juF1uQtr*eI{^&T5o~YNSdw1JxNS=piA6~1 zY77~?4#6YU3DYb##+eikylg5$vu=iQRZf{3B~Vd#*{Zol(ipon$K|_5@Q?0ikj_uZ zmzy<;U%c$7?ptbl9q~Lt&A!0E#Oicl4a|A$w8h zmK^aUdk+>{)oRb?Sm)?U8Sn`>ZFd8cz@81a?5a2g(dX^P{jo@bCofl^F&i!SNU=q8^%{uG+8;!^}s&f&b01^GL<&Hq7KSe57yBO@U%_e z(mzF8f58GptcL3LeJ2vt=-x?{goKPEi^^X~F;4NZdK>6$)5C-LL&2%bA2~EOg3;DA zsM}sX@-ef2ihh{(S*17A`=qmWh?{D08)=J8PW?ZdwkibR@`5M>Eti)>{l zd$Nw536Wi752J*PeGl1pV~FhAWEpF+?^{Ncy|HB(({pll-S_uizR&OZ=lANW*EP#& zPUoDT^>`oe15tI$2|j5NWH9?w=7%?{6ADWuC-Bc-_Oxftw+-9N1 z-!G6Rbr~suK08AWN>cc>!~iL%rozg@^07)_igV8ds`LL6*!epsRL(C2x@SY~^yrGa zm>5fZ5kpxaF}L##NAxr7!J)4hlG9c!Dr|uv){bjt*vA6{Rs7HU^NqhFnUqODF)fAH z`=*dQU?l=?A@qW++YU0u|F??Mf8tC)zOw0&KOM3kE#Rh3+J_R>uPQ)!(~Vp`ms_sc zm~ruzPk4EBqu2Jg`KmCQWD|eW71c*alI=$d-JnQ=n?3t%^@+$4a;G(f+@-y!&y<&* zy*&2Xvj-#Dhrn`31&h8OTEKPav?oT}S?DuvC9;sh6>>gu_sL^ON_+8JBGAo{exO$C*~Nb2 zy&JR44|z4W9=BLoeL@8Ya>x7p^+Jq;o(2)hil!p(K8-(d6Mr?cu#!MebhdO)byC>AJ2nIg1Y=ttt%8dv!RBSz^Lh2yp*xA>{rMCj&|< zQ!Seg8Ll>)G`e&31JN>=})N&j;!4LDrJQN8!AvF$*CaF05t z?B5Q+yMY*!Prz9Mrj%H&=>Ih@u@nP4OXmIq2r=%Ql+;LRj|{Qx-LN#g{4{`EiA5Q( z*S&SI&`LK2rqv-r#Wn4Yw{sk1bJa#R5WH01C0!l4dp-55D{?(=@pyb5nVlhYNg8mp z*XDAFtO#i;Fb*Z>DNwMrbMnX(uz=3#74Vj;YT19+;G3CKc}6lBi{+EbzL-1xu5gB* z5h`5OfLJ9-AuDn93n?&i@Hq~L8bm*cCEKw;p=|5OW`J1vYlDOw6BB~vsy0n?LPES= zz-zmF?YKPsIy*I-e@`|x%qnbOk=uq6X7phQHMLAIzd%$Rd@Iq~Ql^;1`x*g$h1;g< zj!KqF&J$c0F>6sF#Pb5P3qmfR%LNP)Lk19OT9j~@jN!-9T~2OfbyK$bT&=9$?lkzy z*HOm$no72J!!Pl`(t5GaLe&C!7_Q5#uQCJY?ckDYE;6j z2mtcaXHj_wt$O|UyKCLed0uS8?)+~U>=OiN`S<+&f%uCZ1t{gdoVhhvIO@f z&{Df|@TT%fk+&lvad-Z+`h9G;-GEx23a9*~1m-}V@D@5zdK@+@tiIUSAmMVwrJNK{ z;vFi6LM!*%ugGdyEnji}+bPlGG0Z!K^EDg9l+xc^HBUAW6=IV{!VqsR;xMl$<^u5l z0tQY0&AM<|z?1c2OuX%$Swr^A7-O6<-r_!TX!#m?|9M7(=&s%AuCmHC`yYW9H!W0h zu5h+I)%l1Ne!*19q*o+yo%!w-I$8Ruk$qN?n}(aWwG!F7GY0&?6{2r2crHH zAOL_GSg}%NBCnItF*5el~oON+itSqrgy#o%KeWQ8_ zYg%%?F3N^`YA_QMAFj2a-S!zJDaJaNrST}<18j;elsrobsG5B`I{i@r@&CIWJFms6Nx{EFu$ z4?T6>V9c|9P~KOqr9Sq2Ug-%a_RCv<{enqR_J)vL%#fIBj$3MwyrU8^c-;!fJJr1> zIGtVPr_Ub3`ML3W2&OFrbn;%C>0ROZvK=B44b$p*P~$I+qlB__-?qGmk`!UDp6#*9 zeIj{Xwjb3D2_IK10wx(eh7-0NL9=~BQwjnsRqyT3Jw z8fDz_^M-uoi7YDj5PnLM0T0>t5-jE9bjDpz<*VF~I@S=vkf>#Q5Pa@s^gxHy?r2L^(W9?GskWd+Qo`!VQ#RKD$Ny1|YhSzt+ zn>lThm!&3XV{^w3(psR=;I8Kuz`g> z<+((Dsi3XX3S$r%=G6RrY*V;l&obXo<;TQi(~bK?#7FTU$+U6o_imlh1zJc?71dqi zDbxIni`Q0@F80|zxu}glXMt({Y&-5rQ2bgtPr-F5B5AC-B*Tc)Npw&00gkj_;lgmz z)t@`rJIB7))-4h?IB4u-ptJAN-xV83j4yrBb<`eIwg~d`>RvK!z?P_Li2b1Yj;U3l zUnaKNyUHfeFlL?nj-RMxtLMFb2r!=Ne=3)ld-DcdX4-WeA)xGx7^}o^DJ4sMELa<( zvUSa(f7AAyh@T;D6y7a#Fb<#(3+1{w-9{V7{Vy`@N}rDGZqKk2FT~D(E@Jk-&0pus z0I4(hHA0iF1RngE+x)rj|DLG){qSMN634vr8UiIqWTQa!iuDMKeDotLIaw|eO+9gL z9%M{B;%<5aeRsX;`p7M{m&P3Dt=!%2Q9&O$d@9s<{TP1Z*hK%LlJ58Z^^b>wKQXsh zG-MOv*Y(F0g;+6)pm@C)^MW~V9ks}Pw)E-M=va15xfpW%lZ*;oVjSQ3EIxc$A`XwD zf81z+u+^UYRT4wPSjR!&wY`24d7)y#44Xc2+WZ01PF>@u_yHCr@yaLVX0`aCL%u3J>o7DQ^aY@Y${gO3tz8u?xUI<; z^=1oSLQJ(?E~^6PbG}T_t%(}Br*5+?D_}Vg!jp~0MZ|$f)sK}x)le1E)Cin~fkuXq zp+yR_pzT{}W!rBOxPVW+7a{bOELp_9@c!#;p4c!%g(R6t<>+pgGb-g3;RXwbdt!oD zpJy>P`dzR%Vr$w04i!){D{H^R-M$9~Ge<&d@9C^3{sIA^PSkZ_6en_jZF~wi<>w8X zzKTFPJ=i8GD{E$V;??jGwm4u!|7=>N=v9|64a7j9k*BlH;tuPj`3RW_uV052uRpo1 z74E_N7>XX|8|+TpbW}xmfC6n1)m9_|1lBLZk0Fz zfH>v^>17^Zt7h6))3BNI-%JgEMpjz(06V*skmZOC{DrcPCQrLEZw*dmW)B&JY!yG5I4Go?Q%EZ63P7f z_|KPj`tZ~svO6%!%O%UNvHe&rDAF|5RByO3<7WXA?OnE-BgN0Zi5jFyH==^oxSx+W z1QZng3YG*??TvL37gbV+_g?p|+>Pb4*DMvilDTVjl6b5Z7!XutUO0&$I`Oe=ZG3bG z#?$uV`>dLFV`OySk5rXkg}WQT?)cNFr53gJ2K`vVHup;{7luA_Pm+zMrFI7z9XeNN zPB>MlJ;rPMd*?p9Q86i0u(mSLbSPZPJciX7nj7KR#u<(j?10WSt^ymT^@HdxJ=h!V zOXR<*$8r?ZrIlxf{I=(EtG@jb)E{d|NKt`dIk2vx^BZvK*8c8hz}_1Ly0fTN zNzOTaC0<8kG%ASgAWLr3ly84d&A#f|bQc$!Q;CAq`e`|3npA1t!#iV6dSiD##&pDP z$DcD=uSg{RahhchVqZNHE?9mfQjKf5C_-{Ol5>Ql?inBE^{bW?A}RiFT|djf6@z|6 z*-10!uyE=tKp=U3g~FwVzXhFtH9P@FWaR8HG5C&2K9m&geubG_87EIW{=ITYVk6Ja z^>MVkA7l0S!{V*>^KYu|`zpkpgmV+tfYRdkXeurIt-K{r3j1?7AmSusQ4Q2~*8uXX zmKq2uKtxB#A{wY~E~Nn^6>c4}i{b|nn)rqT!%R0qw9 zywyUw_pL)2Fpes&x~ya*hq5_L&6Wg4OQqhz_J~8xQB)f`;MwXCX<_*fQ5xk5#MBk9 ziJn|(Cw&J5Hnb3%OCxaMx21rS5fpd#?#0R{W(t|A8psKv`o&KH621P=dF(|YXhj(q+`ftJ^YTnrzojyQ z*D@=qaOQ-I!4gzGWZME+fG^+P_?&N_Iz3rn_aae6YhpmhjKo3mlh@zYd9tYBUhf=#fSd<)kOnI|Vt z>reE>ShAS@p#Qv`kfZj@?{+d@=Euvl z^TSECl(OBnIMPL+5(6X2ZTIh~z74jkH})=qvm~B|nwqZ7JlBRfCvuq+e0J` zrA?ZNefh!aNw}FNnG?q*%4nLKjlezW%5UEN%TlK2--x zC!1*?xtgBKX60UU{7rNTG4){ni@!lSIKf@qYZUn~d5mLX*v+*>Dgop8(z~ITvoTe# za`89OjW~D`20e(F(swybUa}cdR7;94bRv&Y!&M+~Bndh(2Qmj}dvN7d{M0~vf=G?~ zg}|S!KEAma$142zU`nGSKlk+4w^4`p5xmZLR1;NG-h^Z)oyKZBwt60TOhGVoIwjnI zHuVk#H2Jw8V0v5w|0w_;eWW*oPJO`b%5CK%caqZz@ndeB2Up!b3@TN>T3@w2GdxM3 zP{;R`no|6szMam7MYU$&jvY4sf%N070st@XF3}rZ#E->3RL&k^i#<}z)x&jn-Thhk z*cW*1v~RVj*1Gh)U#LNlBO(8pMh$ZzKCuB2rPc}6{@O9@BhFC0#?a5+9{tO2=x-KN z4Zcon`;s{XWEk|t9Ks)sq)ZJRK3ZexWQ@RQbrpBlu-hCs_;)h$sNI%Q8{=pY6>Wan z5X0!}@`wWc=E zdB3Zlu;Kq6qELk-v$tz3jX%(JiN?+Ezlm8?sa9Cp;+wRoW|^CL5mS@C5Y@>F6u|(T zoVc1hEo!!9n6Fa5iPk&f+HdOpC5SM)wOVs3gx~@k5_9wL{Zkpm+5ed5VXq)x`T;jx z1V6RA@tHj0@As&+)ANX482BZ{987)v?cJ!)x+6d|;9+xBzD0`Yn_8Gms~osgO!%bSraUd4Q$DtiXSfaA==?V zeCp!C(NTx@B3MS(@f&3l=#_NCCIrOETrUQ>dGj{&Pl@upO8!KPQD=#bB71$>dy~fM zPAm&zSi8$l+;PgQHUfFoPGy>$ln(+o0$w+&AqU0++(9i060$wh1LK?0Wo+lgQnHq0 z=EQ$(yiPWz+x8s{>+=}O7k@KiT^lRd5L8F_0R-tU_C@ek1PL?SCH#CnzQWx2Fl**2bq8PSc*g7`}bP!MAD9R ze91hr$jSeH8jt1Gu(ICHMbRYdRXQD)T?6b2fk5T#U-YC2_mILBv6+DlMDpa+pXh~^ zc7VFvAk~Q}pY+VgsB$)%2QT%>=zo^d`(=WzB0Rz3_+R_om-xw6lIrPv7Y(#gp~GJ)$mtVnbG(uP1i=jATwwPRWJUjQE|>(HDzB6&|O~! z5}tFDhk*J*IPR9f+B}6TEr0o}L*OBm&WF~_Kb?UX&8gt~?%?)j=-jBrF<_QHJrIJ) zwJlfF7I)W`ECx*Jr%&;O$q!}6z4yQyqr9bY4uD`hn6A3uvf5!$ZgHmOet5sETszw* zTGkgIh?(w4Tt-z&a?Lcz+`m@$t!HPQ()vG*OuujnH{N`}PBLs<(8ATJcnK^{jBPCzCo;2XA=#O4g{F77g3jjX; zl`zW{$4h3yM=OR24}oL?A#4KfM+%QCTg-$pTMT{s+GX?jgCb%F&2aL}vDCEw{(MMa z{SZ%S9*x>+Fh#r1i$3Zswv%_Wdg$v@1w4AOMbi9@X*^Lp^{(Blv0t{>N-&{CY)Jt3 z179*ZCOijsg8r}FF9(_?9 z=Q3ka*?4%b7bO%|)fgesWtpN^Tg!(_Lx|sitPtb@kXxkr@%B;W?@GR_a%@o}rpFErgdEJd#fZV`&HmGcLl>ID0;qet~XA76{QRVop*#GRaCA9hZt z|FLT~&zFwZuS>oFcd=v6M-b#%sn0u>h&=8QtQ6; zkZ)l8LY1#Wj5yV%1=xereQ1tpn1+#VfS;l+G>Oif?%QG?w_XXE|~Lbr2iK8_23 zi$`!EZ^ikaUPw5=u_gcE*v{xVBmm1D0ium{@IaoiEIO;|bTL&;GL8uT^6s?o)K`drOq$oP^znwVgLWr#05(bS|r3RyePi z67<>Dh5QQSq3gp-L=L$*E(c-@m(|##wvT%l72LjFVy51+#`{a+0DNr8>`c+8=Me8jwjFRBmFW0 z*DZ?o=k&H`8@Vt0IMzKxQFK&@EH^X+djPu#6M4)eRZWd@3|_HxdW{z0B(gd>3d~o& zVGC`AcxjaQ@1!|w&fBKYbxR8>LTdiCeF727e{I0UEaQ(qe5Bk{vq9BuVnGINOsTl@ zwZ&B+8qcD&I*hHVRc-MRO^F4X`suUd!tO^3w$$@TSYN>skU;hj!$7@uO-INk0JT6BlClWu8wsffa_Th^vh|@UhFWX zY(HWH%pZQDJn3N-RcgYpacH6x10d~H22uB3mw$7vXM2gEKD6-MaXD6j?}Y3+V3HUn z+=E+-R94#DO=N?a(ui`5m4z6EdnLY$ALuM0SUtRz4pUPOS7BYxnxi4hkIeBp?2>#8 zyWS?~_db*%f0DO9iK8~@6~L$M?RXUNc5Yi zoIT``gxW%xGe40|#NJf)NhbTmVtWi}{`OBH3b;o=+8Q@KzEDv@t+mjNvzqj1{pxR` z>zXG*bi7_hC+Bm^uaCdny<-$rd+zmMYp&3x3;exW_584)wz~z#^yvm(Nw0M9(#Q3F zK3f?(KIk?kw&z@GOOwghhetMJLbMG&NGr(VT^7wqqmnky7aYgdeuvFn?RqU40x28n zi=y`ee+gu^KQ4caZU$r@F`p_l_^&a%+heG*+&Ad%qRKo65L~=cX7LXLRz=OqHtt%= z6FRB@%Ty~{I!Q=xQtqhx{eYhb=&%Lg6FQUJiNZ5-m$1{-?HTB_=Psa|$4saX+r6<=*v@>$M4&~`il$dTslEm3emGpr3R5&S>=timLN@{Tzeu9~Q zE&(}4Ms{gMdc>RGqv(I~{U*9HkE1x!);`C^B)-Y%`Q0p#ie8L1ig)gn#!#G}MAYJ{ zWk=xaUsc^p$Gc0Nx=C2={90OEJQ91H6`1m^lz02Xa@$oates3?j?ns<5Y z=hD`RDG;C!K3yH+_?H@I35-pdzlmJq9PFgVPjovYq?2|`X9?Crz~XI$*>En$b`pMntdC`9uTDWeLuJe(ydvU=i8GL(dQ6}5D!Ehef^N)GVC5J zd%`&l#|QvgGY4MrU28xqsjoOq-~wK+d|rsjTy+s;8)YvdSbdXPcD;)u`D!BMsCzG_ zSn}yHtii~E>%)@5Zz9VmIx`ZX?aj=HZg&;rCIwY(9i3Oa!u2Z|NS@-SBVp|&H*%=6 z6N&fLbl3eV*gjrW4o>p4{9e!?+Bq=lxWtr9vHBuxIGc0QTQP%Pbc^A2?=kw9wMg!r z!5>E{shu4_*T5JUP9#~hvS8V3D??|r&4}LN| z5;X!w_Z)Z^(Cd~`JY|{xF>6mK1$MGnED5y}X4m~A#?Y%j&fXpo1T>}pzh1RjnHm)t zHDEsYlrbFg ztBtS%3lvuMmTFcb-4|#9kOin@)Mo#fJX1T>(xyQ3iIHtUYvo6m;e5{9Z3!+$=Jf@D zErp?T6__7h&0vw6wC;VKZ0Ph35a@Bs1|H_u5MB-lH4XB%G}-zO0JT74fP$?B{0ART z!V0a|jGXN3JkO`$tknOvJ@0=gwGFJ3KKom(Jvk8q1bfuxE-`hgmNFvDuk)|TB zDb4`ex73S11a2khCn8Rus_Z_-s1))cuGjk3Zo!)Pfj-z9XpJwOIcH%uK6PHG_I!Kp zDD{b+RK%$4u^qAvagv*~xYP*(`zeaX}pu}LV-3lQ{ z@Ti!Z9XZI(aNeJ4spuGPdFamwjXL}Vzk8^cpE+B7BC?l>A$gBY`6omMD188+jPFlm zcX1eOpgQgg&3;uwBGsZmLDd@ju?u4qbc*wbEKCuBhqMI~FK{u=3hE*vSja9K4-WJa*;kU*E1f9Hd#x>~M-PP> zeZ2Ra(Ob0bCk|s9okK%rhZz1I!S2-pR0rSO+ge0a>6q0P|NYhHb5++y0+?ovrgr=^ zNL2PrTCZ1I2FNiy!6+N<#d)v`R8mG-0#r&)lnG*@8_@fdFNAWT)0^%{7->-*B?S(( zs9wFSHwyq<09^M7gwiz6rQT$v2b7Nyyg(_h#I$z6wS*PO%U?kZ7a;)T>y-NfmF5f4 zj*a`610M#7zJDbIC{(X6LYs7lHkm@WA=LcI=R6Z`URiBvt4WO=ZcEj-z^7_jqFoj>{-9m@Tj{DhgpT;ZPiz{{<$ zTMQW8Z`RtHYM&jm zi_&y3(7mPxH%zq0nQNdkA2J513^4Ye4u^VP)$|ns%13O?kXsQn;u1wSKt{@6g zY0>reSr_1j?q7F)!Zb_gFZsB#IzU4X_cO545S@atetcRD=JFz~>>rUh(jeOI0ac~Q z@h^GdgEIIg|2Kqo1`p#fLGUg?&j?%eeI1wyK~>@tOCb|H z#lAj62|%5T8zuf`B2|SdFAMF4)$KM-c^^J0<~oLCTqD6Y=nrE;DK?~e8j+V*4f>yF z!aaF%qVSL$f>?H3m^9m=(U3fkj|2Oc(YW~R^j7V!7V+4*sky1!l#TY6xy=MR&KDLH zWk&>m2S1r}5~Y=TxqY&3MLt_G74Rt$WD$F_Mk4n6S0Hh>T>if8`P&{=7puM*FJv-H z7?N_A5X@~oJ`dQ!H+8g@%Q24BPf)#OTQbi_2nKCv>B(b*BF$}d+$L$(ow`!aIITn- z_NH2AZ84ciqbiqfrC)I7(=bMZ6pv<48dA;7#~!x(7J7#ggHCm*)S}+ybDUC2t*_~5Bhb<^uh+rraTconnK;H8$^J-g$6%49`$ZiZ*c!|L3(>Jn zT1ip$+PYd#s1}#OK(G*_p``Vd+*< ziByn0m@HcOR@8ZWgyUyr;dODZagZ_jPH6*s--y5AkX6K1S$~$t=+X)+aXFTXNiJ_1 z`!qtTvy%Z^-BTGMIIKR5T6fefp7Tw8B6q=5sF)UXVA|fIEq(M)DUX|eEdcElyLnP%d|`M`U2y8>Wh&I{_V)Xw&uCF)3WxE8Sb}LukW4H#F1=iRDx!#2Tj-V!c{2 zy(SrAnYWFj+6AC<-XBMRt!l$5o9M1M_Roy8x0SZ=!9DV_%2kD=Ky+$}YbTy?#$km{Nq|IE9`@bobCAE9tyf z6nYE8DBqGmzoiVYZ#f3|NVt+sFye=L?4XFEk3Q*g_e+hF0gDw&o(r$G&^2eM*oFcqxe zbm`V_qGSxHCIG`dqT>M7bi)|uLso$l6afAFi}om3$^~~j zqPlDV zd!?2KYdapKT#7s>=gH9?t3>e$j*rJob20{aoI^x5Dot4;KyI*mHb)cjE5je(k{<=d zEJ{-sX2$4MVQvfSK*`$mL8rA{ERDwFSVyaFSl5k|(SC7bT)*1&_CY`M>PE57}0HR=vqT=v%d})sCb6Ks9Mu$_z$QU`*TC!mtB3KnplqxW3 z^1usQ67NR$pJ25%)q~X?TO*Rb(S{OF*aeR-v$-*^b*ZMFgm01^(jgm>w#(e&LN#N2 zi*<2ttcZ++o}Rx+K?F{E`q(&ZHL-i{RI z(crTm^};`TujMbHd#_1wOif*i!q(b8ltrfOUO-+{mm72Melzowa}`3%;B>BtWUOBD z2~@}1)~sR7jF{9d2H~7*Xi#gWwJolUrD*lnjNcC z_IMpON}Z#-SQ7UKFC^jwvT}O7B3>~b1TP{Ax>StP(Vma~?g2_T`eEBKhcJT0Uvt-~ zREw?$?~p}^+-9c_C%2bo6$g+toQBzvlHa^7b7P7l_uS8CR3Yeuk*n#?Xw6JhE;$ID z@IHL-J^)4cFq23YHrwSVqDB7^_kGn@g}J?$zt2o2oq=0-`=~DXj+SsyJWg=LBzZ%oK-FF75Zfh?A#IbAU!FtZC&X>iCa8*NXSca{V6f2(6!pX{ z9UEjlILiGcqIqH-x5j>bOyD(j(>bTx#a@Xw8BP)*sAu*+jM*;B|13gDs7QB$vLxCK zg?Lq!yH&KmxW;lpWDUBF?&k0j$UwB>S@uTyNxrDOX}cPilAT-8^2~Qw*Deonw7z=B zt8oSP3}oa@;22_l?5I7rO zi(cp1S6@t_Gw7D4eK#d2*Ymc2i~B*+i5@czupk+0$%~|pWvbY=mXx@}3N~d*^A8t;eR%5@HKYR+SlrdSc+Tmu)UY+tU9SCA=SquB{$cp7%#e)LdQ@7}GN`7$^+<1QKU@5g9@mY~ zCj|*0QRyu{%Wufe!{#px7qfeWs08IpTt&uexa_!p%&9TcQ`!T;)F7JzmdaKC z_j+NMhNZXd0A6VYkd&zB|hKiTCU24?MI^pVx@V(X3(!4X~E(zHtCB z;)tI?kmg6*rOCyUon@;DBmAYwvnQIIC&Ds?6RkcMB+t}2W9$bt;bxEt(Ag?SW_5}$895#1sK{&vb~3;JVDNRtSfTDo zl7p5C43F%;vqf0ccacBtu6HN+>cusPGpKPJpd2j>92Y}1RkL_opoChm6({=Jc-TiLxFs$7JDRt-^o4n}X$K<% z`u>3+W9rw;MFi_vHJ-tasi3zaJ$i2L+zFgpXnkRYh=h`T+n_B3>Gox?an zX*Cupd*d58`36`m>J@XwdIJ!=1TqWVUY;2D_?V(1YpmIZTgTU`-{Bjmf$vGycpIPL!PsP+OteQJ$(3e!Yre zmX{N;mn@8P6;J99mb%$l`zA9WmWSHCXj z&r#4+qn^z@WW`ZKzwtfw(&C2DwrSf+5 z^yLdK)C&^lY9K%SN%a$&Z(Po(oXI$@!bDqF>kG^p$-lU|#Mo8As)>RIDR#W^jf9~7 zq;R!Jj0~CjKkLFsY&JP$4O6TgwOs^2c*-K3GDS8)&n5htlQ64Bu)37;Y)-wN)zy%A(` zDo3VCTHD_?`7sq#A|F3aJFG;r&o`K$^$Q#3y%k&1h&C^<6Me8M$H$U}n&OTv!<-*^ z9#O~iEG+22FwOEn+8+FHqNL*RrP(O2a3r1HUMi6TzJG=0HEBpclah z*(E_}AS>_QmnlXv#B~jLB566CuOZ~mkNBn``^+Wh@{o1ra=kwkZS|lGuhW_6@*WJK z`S3LkfunCsAB=^o6~KwUgP)2_L4)ccnCto1*9)yPfrWsnfIhHV7zVqOLTcWYLS`RB zR^LNM#gGrHArpU1-GTa_r}Z1p8s-3$6i9AA{ZkJ71rpJViN}2owh*<&aoQxlE_y#C z1oW>~&$>V}#W2Q{e-;-=C9s3r>AIwp$Q_3<0iCz4{$~oM5m59~^nYgg{N3>X%k~OD ziI42|!i;d_8@0cQjE|n;MLx#4cf;*Sr+a~&2Glf|%l^Fmp# zcx_um-z|X9-qu#k2ExNnm5r-4M3aTMEol5y=k8w;Qi>t=<50}iy$^TB;(X_IYnSrpI^={KcZq=E*3p!}is8o9Of3ZD z4;!Q4sufm|`-G`Wi5=0clTs^{ppjs9~WjhLUb2qrxR;S!Y z%|JPn^dbT#5}p8dGg7FCj@JDk&?rr!|CmrIgU{ViXSxQN0`30!4e zU!&_GSOyaExZvO`VC-O`XlExDaY(}jWH?VDmM>9DxbnQUSGp{km4$JHmjEI@jN@Dd z;O7IheZ4@4@|KFS(>17t9xKI`vG@;~ zN%!F=vMBRx>c6GL8+~c;zdXpb>)=SZNL}fB$?hYxCbr=upRf}NBxd33a_n(3NngI0 ze;a%tNd6*ASnq&1voPlmXe zt-9$_F7oFs&m4jrvk*kHw8FZ~LEH#vlL7wt*;H0 z-SRw&vN)n|u1$k2uM^}3oHjQ%>0cJo9@fnow}=_!3V-wFy>x7qQP$e`(*>h*BGvo& zL$#W{m}M~ANjw%}LtEm((@qtddOlk|lZads9uE}nEpP$neO6?6o0CGDW%CW&qbv;8 z0ir5q^tXu4DTo+d{jfg|3T}T(LL&V&WDmo8bo!M*&%Pg!ag!B*S93f1AbSfBb>V3) z#^y%l&WA?ya(I)7oOJPo0*1&FVh2E=XFU_Idks;^NEzmgnD!0vID&r$Zub};QnD2~ zTiWrvqSgh~6GJ#LK^+vlf9cdfN9DY8^jN5R!hB&o|J2ktUsx$wH12Zp{LZZ2snrgP zVp6I-Zj^ctg^b`)OB;{1g!kUKex`hcG?+-Mu?Wv=?l~!e-iu&G2qVN=9|k}aE6G9R zL_|V)0?@Vu&Wl=84FIA8<=g>jtL61O5XJX)`n=jV0EV>Ux0a6~Ee}TYJAJjUEB-7F zU}`?d^LpZW;VPo$mqjU1eLM6Q9TNHrh6cO)jc;L0VeZE`y=K04|5MEe?##WX@9WRH zNtl5aqu(B*YryViO-i#TwMcjP)n9NY$m(Cu+LfJp_W)<&U%wCjuS4dU|2jm&RY2cW zcopprndf%_9Ktwef5@g~Iq>gb)=ZOx?IY+Y$9l99fKPXV-C?KVK19`DKdF>db?@v7 zZ3|_wpgkbfVX*3RKk14Wx*Rx_LNO+4$1ky^l%_2pAaNiPf>rVW-*nNx#CqpdIdrxj zQ1aVFj8sn`)!FQ2j9(!Bk%{!Lss8`_emNRQ52`tUD?h)1T5Ttf-2#ZSr+B#zgGI+E zew(kF#^i9oe+QJ2x~&vK8RwUT0F@t{MsBNpZ7V`5@r>2C6a_0|FOG(_Y95S?Z5%4WhRT z;1r2{;;~Ze>u7t`_8XkbNOhFv{IxWEqX%>7t8DP8>*aefhT=H3~RX5@lz*f!TLcqB)q`7{X* zeDr&1zQ%VCfF!%`x#)k`+FFJm$!>cq;hoO}aR0)z{Uv~dpXuHHc|iY}-tCO*JJq}W z3l{g6-|a7q5B9g;?b(^%O%1q>{_?v$`rGdY2mEd^v~%j)d&NJif{opHKX+30eYP`p zep}oHs%dS&R<8J_E=}g_=GVj;)ZLKe;^Vt{znraxHoA<(uz21cixCPrGtTutQaTb= zy%NyPh4&r@X^-RUx5HG+jwHPT2d@t=8Az|8%R3?kK~6?%$pc@ zb$0}hkDT<`s>W&PH4)~xTd#{Q6~sSPZk-Yn=w7`6?y@F|`;>SRIZt){RKT`CKI~20 z^Xpo&ljGAAvBllQ8|;Y+nk7s{dVB@0Vn`R~C5)Fm6COR_Agz2D`(;6)wN*XzMfZIL z)~<^4=O)l3^915Z&ZpY`X5Iq2QG;E91-IF<6Ar5xba{Y%NhaN7mP;U@YGs10g-hR; zR#cyf>-0YKIbRk8nmF`_aWF>VmDGeuV@|!fW zyso(i$z&@eEu@a3#yaW!+u55~ z;Ye?ky#LlOdq@H6u|mEQ^UsOmy6u9cx4DiemMZvC@s)>^=%mhRu&HPwaOIY3cduzH zn{keh?rvHx*4+{|5$$N#99}qGHJUmvMfGytQ3*%@vF&nC3|@J=WYLO_V|-hr3&^_i)Aq8_B#`A|0&zcQMmi7pCKv??xkFLwA-k_ z8V=^`M+F2s4CuOO!g{h-_1Z$`v5Oh?h#9mz>=+$#5-N@QF!eJ;W$EP&RmiamQ19vCS-D@TeL&XugRb9D15X6_jKy~^!?%Wi6A=L} z=N0Ho*O-Y48dr?=7&R4CCH*>dw(GS@*4jVTz8+>>== z>nA{woR}CB6rv813^#jMop(tAUz|RWb`fKLikH{89;KWf^=sts_jK)v9+9py|7r@w zeFfxg;-0*{OvxJ*XKttRC@T??)T?_E(4bx08^i5$>=AAy^oIPOr zJ_}i^^7+~GXQnlg>!WiGyCOxvDFbj^jM~X_hvw2>{=uUU{9_&e{_IIV(^dGw+Uoe) z2JE2y^hy99t>xyZrH@#BL>7{pF-{q|wC7ppW~#2PnEMH!bJ+;BJf0k*bHzd%Hg2N~ zgom9cF6A@L`Kgb`B0F_0f#35K9@_*?Ce@}smD*U#LP=wmW3SDesdP`Pz+OhvE}RT+ z4lr}mbyB7KzloIAV1Ed;PN$_h@l_)L_RC9UK3rtpYpZd+l=Kp&JqBO>c1EE^&i1-) zd5%Y7uqWk62qmx zhr`E7XM0z->{sdMPfY(h`{_DA)6Jt`AZth;NZO7$<@-E<^MBc#g#t*!LG-sr@bQ}s zNuzUHh4%1&p6i}x!|rLS(f>F|1KBj6v)6xA|M%Ge-`bJ1$v+`G@zZbiKi;xO>HH7u z?*GvCCh$cnfclZ6hpYQX1{{QEBy_lJE&UJmxb+(yvKJWMY^PcPt`+d#rTWe;5 zwi&(P{Ti$Z35Lf44G)a2N^QJq(Zz9<1yK85u9bC5%MJ3+wpn_5fk)*$b*E*pBK7Xo zh$!~WXCC~Qj%?gE2M2PQxF~*xe+3xxPL9GiolD%ra|iV2nY}csXBLvFC2R6Ao&AMh zR%5G}lHSYnYx9qXrM%{=T&m3aid}VdT;*V1tRL#FtX%%lu_*gpLgP>74V*1D9OPBE zkVib6?Xh~6Swo)=xX=B2^6wvV0Ds&gqR3}&PgAj-Fee%YkdVdTFYFX_2Nmr=KEsph zLB<=q!MX#c6glyGBHmG*UbQcZRB-6~ba1Z*f6PmJ+Ww4$<_-xWej(8wVvbRmlsrYG zS`C`QL}*K;>vT5^LrSp`ICh=kPp8e`tXf|^N-v}xd}t18Xw6FA7Ln1;GlA(T#jKH( zfy->agU0A_Tld9S-zgGeWTU9%)Rp6vl~tuB%5?anc&`XOZS@6U&OkB@7*UGy-Su%S ziqI2m)UesX4bu|XsGPfv%X?nAd+o{R*1FrtBt7Yb%X39Zk5$u^y->FoIoe0{X4d>x zAcr&S{j*oF4Cq}mC?bppp1$kCg!z^=}#3tu5g)mz?==#Rw;uKNp5Yb%ZLJCTfN zXu3cN247HH@SuKDVzltImJ-z1{5iH!aR^sb4W?>gj<^-(GC*yc;dd3@D(8pjHF^VD zU1b2sbZUxv(+@-s_%KuZQ^`q7BqIj0|J-rfHWCxaK7l7mWY+Y`pk}70vytu)$|26` zhE0xLt~|Ghc70=O!OOylUnhoe#LK$PBuOw+7F1t^N-Op;iD)O+H-2_ul1BPwI=?LB zRSk(s>f}MjUjm`JzMx#Ck?|o>8D6qqj0^_2MI16JrICF)o_4uq+``045zP|H4NyI= zu@y6gx2cpw)_PFj_CVQot%m}a<~0a`<%0xQ=c4j=i9ge0{|GVsaSi+@OPgsPdjJ3f z6igHzOhU%b!hz|bDY86Mq<5KQlTXuS51bHTgx`z(fl_|k<=jk>Md?%}e<5&n{Xr&h z6&@q!=F7&cL80t zT_j;cKkX!883wQnz)t*M-n;*!L-F4=P6R@9XBL}%Sn>fOV zSJnUSQpT|L70&SQR^Ynx=jn9d=lk!|f4d4G#wmN>WgF=+D|OeuaYJ_eELY;G-?4%x zk^rq`a@NQZSLTW6A?J3&GK|;^i~-dv4|sh2%kqDmnM)3^%o|`U`ZZAA0Q>PC@)r3d zqR!KQ-*uBb>B4?cQ4=)%%LVbvWQtE$jFs(#y;%sp0Jvr4)whGoqFiXZ*h-<33G3D( zd9-_$x4A!TdvLKkkWC` z+V>cyz;Pj}$QwLGJ=mIF0n>iO`NS1=>QjY7M@fv-$Hmk;prS?>REk7w^QgVWH1oz@ z>eH1o7VKi1?!BMsq|PZG+?1F}O=K5NDEH{N1RK}$t$pHYoEfkE`KU~$x>+ED&KRdB z2o_{X?Y)1&KVTyQw7*^{X|guV>t&#`sI$-j2G;C0y3Zj2-1a|EYUSUfNr7WZALXh} zQnRXu-gz3AjqklQ2LMRfl^dSMC8o)e-+s_AI0G!Az-;{Fyj4xu0hzIkPz4b(oqHA~ zjA7+Le>R3vQ+XKOZt=CYCh>qgcyI9YRGvm)SLd1hO+=DjSYe6u#?5Ypjv47A=Z>=jxrwE!+10VmG zF!1%X69F^^AY|3)8R%2i#>)0P6+Esfm4WqIPV2dDe$y@y0$bE(vHTSTA@yft6}R32 zR8pn%X$lO}x~xr)3i!g?eJE$J(p?xmGO6aOJIli0kPeP_{G{tH5KgrM73;$Qg1_QY zk1uIV{Gdwp?gAzu$538Xz)L3ekj$9)JT|cpq;D(WR7Wr?jqH}0vb43Sf&GORU~@3B zi@NcNj`K_PF@c!7R6Dlf&P?AWJm~V!CvK986}7>{kJT%oxlG(Lg;0}}V##7+2W(ca zJzFVHvRw0_Z^JBqCzlDjZNL)bPC<|=T})Uz=>GcPXPg(53ng#6o{-D6zp9ySF7Y>j z*6mqcVVxU5Z|#jwLN4=@cleI}*RLmD zuLs*;;=WBuq>-&(xk5Q!8%*q1HT~_u5Uz0CvY$;OJGNcyGf#AtGzxTbNq0|MP-@^cGs`js&ZE*B@MwMZ1z1DC zBS@r9(A$xG%@o|iRH#??H8g>Hn3@YGo(G8Ux6bw7yg=YnjqwKyJ=v5uzhjhM3 zE!S#ZD>bS(eF|`0$NeL&_3#+of_}D(MP3z{#j(99lS^SUc7^3n7+mYQ3J)-}EavsI zlV|I46}i@x8(>*v?`ZQ>)1L)vj&AX%In&zw$H^8 zQdzejc5s?E=P+E%>uQ-PiRha2>GW$?lm*qtG2O}{TIf!`W32#6Ec+g7`f2PPoe<+* z%TE?7(VBt5_2UC-ttfG=Bw7XGflj^?Z0V9>M_Y#$of~Q+z_XO%k-an3s7jM&<)mV^ zA2en^XujrnUI8^KL5kVBYOb+rMhB#ruU8&$TS0@|Ni<@;XKiqM+5^r%J67d^HB}Ui zsOA9DbBom*78O-MwjNQS-BAEL!JcbHJ8 zC+)0_JunpVJI}-Zj;E~ca&m3y?;!D@WJIW3=M$JBub&vgx?Co3wiMm})HPX=rp1RDl6!k?GQ)9mhLjICDMXum%U8W3js zA2E98h)`caf^LQLl?UurJ-}0MG(vIFaXcXSC19_oWpoQm{<|(Adc4G}ALnA)qQt5Hyy+f8P=4*?;d?=(GnE zO6!&qT0~?30~hH~n*3F?>dDPvixnW*?|y#Gdafg&X=@V7l@wz14EfBO{cD=Nm#8JP zXElb{*(D>@Ro;#aR4}na>NH}&d zj%r)qJOm9xjvs6)wDUd~cdw`n4CU^}Hh}LxXzzs({9w5wxt#tM*#uH689VOZS;NF< z(yXHN9bZ-Ey5?Lo<7?H#CHR9T45<8kupnh*L4OK5hd?&?UZhd_?)$%_Kaf5X+e0nWqNd`j(8`@0AoQPD`Ru9HXaH{fP zT5G0fqT{Jo!P$W6^cxrwli#QYdyTL!EV6J+Kk)(dnc>--cRbY=B#O2z966^D`*1gAdJ`yA zVJahKdYnNqY`h0t^H#t$TiaAzBd%c{zT!-vm;%#+k-1?S-2+}9yJp21UVN7xGvJ|%U6?mmCd{dX9%H5Tl^hpoI{_e?UuUNndOY+l}_i&IA}0`Ld40TlJbxoGHu z;=^EOAvcf`SqD=ld=I$pMgnTr4;lxS<3mU}6Xu7e2k0DR!5AFBUv>Mf$a?~OEO?Qt zROMI&c^p_bQGd`lR_%B)Q??)ne&BkhtLuPtn5k-dX?>Oql#l-W(@Pt`o+%K6jOR33 zUk2zLH#FN~)fx-Vg?-rMRw{~{+nl}raU#^GXvn$w#q@7h=$aS(7HSUXhwjginW63` z_UyLJr@k1vE>v%zffhG!lSQ0Kp z`EJpMKnV+Ra0L<*7o8ke*+E9p6F%s+;yO>w``IDvQ8^>Ocwb0m<-p7?s%=A^+Ab^Q z@=PTQ`>MD606Y_z5mpSr)NW4MVlzQ_8umoU83CtJxS$M!D&D00dT-8;> z095;6yb|~?Yk;o$erAv~vZ7Xl(}YKT5V%Hs0{Nho9Uu(~HUbPLU|MHW)EN5-B+sP4 zA%(h_gQ%n*G{eTwbxYO(+tFFI=#1Uk4j_82ZCb8{Qk7!RnHTe5AgSndJ6D_mRpKPb zcXs;6uQ3}eo~1qkY`FSkP=unihKp@ps)yU++9dJr8eIlyPN>!@Ra^7qh=mCE3^1$5 zAu3(E@by9kg2bZ&`w+vmw@6c(vjD_-qwCVASye8Y3#S|zxOe)iyyMz0JiDNgWH4j9BRJ{{n22~fw&n+SeP!5)2=eOw8TI9E1 zJM+WP`csfNl#bP9mzxbuLEP@y;0so*s${vWwO}s_a^^bWZO=8RYYRi07!U-jJ5QJK zX~FjnpciV3cbeLXXQkzL;^yq(9jYDT+N^Y~A%2UJqUB9ZRJr{ewXFeb!vPFyacg1E z&VrjSm6D~+Uf}kY?4=p~$wE|hK!&fOHE3;EhhZ&xQ|&!pvRub*7hdAoBkW}Kc0L>+( z`Hvubnapt#7$2YU3ZJWwoQI^8&Yb6kN`K}<3_0yFs-Ubr&k-O|jAi_MwJ5zLSh{fP zC^yQ%mo`^QB_tOlR<@O`Hh{+%B2tj%Dw&s59}Y4R(Y~1yX-Q-IZLFnKa5DkAmgPrE z_akGIfDm%OjZGV83rJl^f)qxG7?jEw`~9z-77ePUOdW-#&!SLzI(oR)yPUZ)z{3|P zLX93u)pc^4ADDg!P*Oxz<|xjDT=8bTz-Y@XBad9UYl>vH<&{ykopjp`vUZla_vuuC z0+cg5?#Yg$#5=9_5M4oFF0afv$E18-Z8XvUksNbiQ7;Nl?cGS3;=~`C$EF?s7;&|EUNflh34FINT==2==QD3X4Rl%ju@HmM0rqcq zUIXSal);-ofMk=M!k(W`^}3Ly35uMN38ezJ!)4N`gotDU2AM9#GWWA(u9MCP_qt^s z0gN|5pBC@Mef%7^kjw55)HWaIn?` zTqOx0OD^XHToMfDA-&eWO_==8mQI5th<*x8c}(uPD~|TwWi9r@?w#Ldvm;Satro{q zJE~O~=9n$MjI{W|E?cpwP^SM*&&aZP=5UnQeClR6PIz`Dz^r#aLU5xhuv6Uz(F8y4 zbw-&`^PYJ_MidbRGPK)+7j1Vb6O+RTls>MD*)givYtTxwVWDW8ZTViA#zhv-nbA?c zreXP_vDb+g5kfyu>k+nc84)RFQx&+5Fa8M(6d0zj{h+Z3{c4=EKuUmRWH{Y|=NcX~ zl%H@V=?~=HTR82QWfGM)`gYgyyli%P>Gabi4APA8i)jlIhlqHzdRQq`IH+}lbi?CF z@Ezjo{RU&L;&hqk;2Mnigc>X;#@q&y&i_^3=3f$KzZ9$e|95OahWG#GI8#cJNV*)| zu~$rcl*v`bBRUS;z0Q zy^4V5!@;-%a+~srFRMP`G8%0w(9>HWldVq?u$3iYKbGLbbZN52D~0Pe+K;rkXz~j5 z+1!4)H(^$LmbU+XNd22vj_X1jO}LkZG=jV~MLK2$|Cf2Vzh%&WpR59D>;IcmW~#@9 zWIX-!nSoKup=JJ2g{nagm>Sxx#RSgd^n=FZ9EPPr_OZvttYOVD<2GRiK~Q9lf+NuE z0<}8}+bte3M0CQG&Bjq?&&k|@E9!l0B3z$$b@6{C8PH1c@qgRi*U)#_3oZKZYMzKt z(?)SAlP8Q;1w*7ToqjgNGXG-mMh^M3aw_k?F1bNd5_tE%9afUv!A%5wBUC?wYP(3!srOL`- zrMhHz`{Z}d>_~gs&BW9FX%+7+BeN5=NNW9z&W1jk7w0|{Ms%Nj-O`kt(02cNF#r9R z5;sQP;pRrxMQ|^jG#LX}RmiThU6Z&1&W%@JPsGkOPwz%~z0Z}*p%FWJZtu|$`tKZ* z4b(j0w}m0>4VnS1#7l4C$DUmvuJ?pg+mH9gGCj?<1O8sIO#N*co(Rox-L(NDrpk)5?$>>) zvCr<45PH6X*GgsqH}U`O+w7kr6Cm3uukgv@V-K5RC)f{6&U6`BT{~4@(^`;UI9X6= zkS$U4Vl3EBAm{?+nso^>s=lV#b)^f=VZ^OY+a+dA3<0-K>9JC^*UYLyrC~jkYk{=j zh0Ux|I`-56Z7%z4(Tfi0LbC-58a|*cU1(C@s$JizbuGVejB?GUhhnD=wc>CL+5R_T zOr^U`lI(K^mYQxHlN#x{g$VlU0;4*imrlb z^ISnuYTh?fzQW?A!f17yu?V={+dW;0MFq9jtY73T3SPhdAobf>n7r=FLNyxBt%qwi zCarTBOKHF>O;+j>yuic%se%U0yMwC%ou8>P9qBXLwG|m+= zvHfkd`%IsO#5K_%HhPsKXU~2e*!jf!z^7U9iJ8qDqq}q8^z-u{71f2;vJ7LQ`vRp_ z3@Sj8gU73uyYAt2@YS}_(v1ActcQWnzP9lpgMMN`1MIRwp9l$5rU}M-?rRIR;2f@+ zcv8}ZQv3dp8c@&=S>PU)UX#c#)c+*ctHK^4$<+3Qf~)2nd(U%FCuOyg&(s;EeYfdW zQLDYZY5QQHz|GmgWOU_vOGz%wouxIMH#(?NoX1WK#$7^7D*SvpGwPCugU|z;6Rii| z%UwS(I(j_@`MDiFki#)_?6EA1#eF{h3Fj{cBcg^};I`IIjGZdZBh9`YgN>)A>FdoFu>H*cnd54EwNgfp}?5#Wi?C{()8AaWkm z9Otg^f82B+Bja4pBikI2rodf}OsBfC58I%M+K*MWU$!}Zw!7y=j)yBN%O`G>mgwCk zk<<0`K4r0GPt3wTJdIwHFhq*LyK*@!3(auNuK)TGl}WM&=o))A8rQttB0H8`2=>mY z-L0?}{ZI#XVxEW=xvUKKVuIGXY-h8px=6v6Nn!ECKX@A=y8d3O;GeEj$j+!`Vcxu_ zUdD=8poC}kN2<95Wq%>6Db0uheB){NbPKZTJ$BG!?HXs$K{>ZkkxO1F07=27^V1U^ z<&SlMT+uz9B6~o3+VFf=wqnE-q_E`xN0Inz~`PTz&wXfN794h}+?_61r#w5D|_#w=9nNtApxqr}f3Z zHazguh2FQYk1YQAYeNXqNXQ|5uP}HJFN(t=G z{I0$}(=vNZ(JDTr#7P9hBpbu;DYb9x|SGs8F{Ot?$ib6D8rtgm!ln zjfXMJO34-ne2l$)q3ulIg(g&+8m+=uVBe?9Tmm!BVX=2Pf2Gq z(TcN1eTdKPE9?3ALi3)@dk2xaHisOhI?Hl(LC=Db?>Dcc6oj?uywo^`ee;S1SjtOg z^FN%;&<5EcX#ecZwuweX5!)S%XNP$)Tzty9yt6~*$A}BwRhB-jnq_{u#Vp(fR}S30 znkH@QRe%6&PCABJVdGVI`sph50kd<9gGokDck9(8v2d=anOn@PfS__nTd(8=M{tPM z4!-99wyQg_$Jb9mi$iJpQ!O*Ytn{I*r3Y(DL~sP0j@>=%#SfP9Xb*do@T)CRY7$P~ zR+_F}2Hq6f!`J~|0GVN;=X%@gH(jZ{V2^iy7Gt|#k*S0PM?3VyDnAQxb!BkK{3L5b z7TtFUABulh4zd5iq4bP{c=`YZ`*YT78Hn!B$L1^_fe9;H2j}34V4PyxeSXILnT$Y! zzS8oyF|fioAn&`X76OLI<}9-vPu3`~_h-D>KRdtXha?6HLSz@6t-t^eJFH|4)*9f4 zi8pB5S|~_%+*+BF6X9Uc*7~`0So@XZV*@I|9x(mLsizH2%Y)}HtSe;J=7CL|Ha}qV z))4Fft+i(CX)v7UH^MR@vkq;eJ%tXc4P55OlN(e{3dk+gYS#5=aUNz*mKEaBik9l4 zXpl`SP76%_T8-k*XXdx^Y=7io{-l}w+gVBgl&*_qkC2`-5joiQWT5jT85ScYHCO3V zA=*jZ3sPN*N31lfYGY4sqZ`aYrxq|*%Qj>H*1HX9K(YchkQ3bxga(aDgNY!$N{Vq` z(Q}&X@Rvh{t(=6il3R_80P28U1yzS3qzLNe5l`i9_UI;TKt+JBxDovLzF`CI{*Dfb zmNgHly(Y{|1p&BZ8@hqwPC3s_;jol80M;y4lQsBwKop*4?8sASMnj!QHQ!eNQIv{r zyd*$q%$f2XDI*^aEEYmw2h*7Z8sdgTGC*S>xMHy(IMphss+NoSC!S%7X#^{9-1rS@ z0q`29*{B(yqwnzh9k2-|1aM&e3&mHrv6CBncD)Ah2PnJ0g##T@qnQHmmny)C;1K*p z0fYGlnk)U@9CNf6AW=a4^8x~cC?anFddBn}LeC(isBsGo`eon)TM&~G1Th^lr)i$+ z_s3GU0iDQTXY_{DAIE?qG6VJ?;{g|YsU{9v>Ys5Bcj7p8yTGnr~PE z@T*0T57bwQi#5l}kC<%y_F{)lwveI}1cc4_vClq%5-eO- zURJrdiJ2wPU*V5jX#X-~w4BA?0G1O^MlKZh2_TlTs3pLQS))8Y9kA&5Y4%cO0p=py z+7#Z%JsiYAogZJ|4qAzi)@Y8|f3@%j8*2YZZXen4WLqbMFG?Ubju|h_Mk2>4Q+_PJ~ z_f^%P90LZSOnawa(?}3~AlX`{fLa1T5l?fI;`7F5hx>H+$DEuA4EfD0=Vv2Bm2M64 zet<9?Lh{iIR%h4^+SQYkoEt(g#+${@EET3|#2;Sq+w5Y7FD4zS6b_#SIe(#rN{a`p zbGmQ8<~#&dsPh2MVyWmgw>TVlgDH{zy0c79xzB^TBNoLv;wiKQ29J?XM~z1f<446- z#OlBLspl%MsEE8QI*po1$G`B?#Y-S~Y0Rq3K}AVz4(&m}Bx-gv7?x`+8b-E%NZ7Yg+H!9xf2^toC5mzy^UsAchS9kmE>2)lVz# z41oDHeOfC4I0Zm^KnU}@+?Dir&&H;urx(kn0r@15M5*0N7H zWmL%WgU2xj(#4>+8S@3IYAy9sV�J9(;g}u08jzI zhJABKW<+Hw*l49K*K*`Je!~z#)Q9XB zwBS7vwwI0F79!Rt*Dz51RT}$j8R8UxUPQ$i+FOhA1znIQsizw@ow}w}=w3``jq`gm$Iy=2&`_$#Rh}lnf6*519}}wV_yg6 zgq6laLF+b`u(j7j2$`b1Yy%LM6*UiF0s;WSnkYH}SmSEW*{1yCY@v9f4n;dt@rh7%vu zD7z@3#3#tRg=O9R`T43)umT6OaazJtZLryZ{7%|*T4NcXc78d}!flbSG#e^D?lV|f zxtORsFSBWCL50_DI`zs&7yzMw`Au#wudu3&E$(~yZ_~h-tMUfj=CsnxfnXV?k)cEn z3&gzm1~GAk(|N&7eE)h6yZ24`O|Pn9(~~O{rz!LO@jqzpgd{%OKL1UXI=KUG%bs!D z{2)enhP4GesW;~(7Tm-xyCB%RH)Sf7W+be>Pgz)aV3D0wBPC!l39#_Jyr$NQD}ZLK zfx1W3i??Zf8v6sXuzY?z+;OK+S5g_9Nr8#0hy(Dn&n<&WdWdTN%x|keb5D`Xf>{5W zW8ZslRjn6TUz9xVv6E*4Miib$2ONt4_sWfai(KB)gF6gkP#g*oD-=?( z@Q(A&v9Z_gx1Kt3MRym<$Hv@0v6jmO!4QwZc^0G%;2?n7|Ua0FAQvr z3c8<7sVsgYWHwZ>UzHyHOwqLI_EE&N*uOF_InRaQ#)S9-3AnY=buW3K|Ajy?P&{>u zoxWqAJwTlJovJm_KH~n8#m#!HU|hRrI9qxkx_JqpGHx-^0a4NiqP|NOZ{t?~vbf|0 z%V$t5(ZtQxN~8FVt{gB{s@f}m-y3Xyr4Rtlz^<{~0hGx-)*2|vC2~^8a(#5~9?3Z8 z^evKQ0kCc_jA)GPS|)hfm~i7dDniZ`q!o{boB-rk`!>+~7dwwJPwEB;+g2ZLjs8L< zILs}k(KUsG{jO0Sk&()E?2MuJ@-t`hg)r?Z1snUvpTR}|TX~14En?YL8bpI{%fKB3 zBDYHxk1=D*DZpNy3!bWP>vrbeGf}I@ITA*lW|{Zk@8pg0b~1Enzd35#$;VpEz2B2q*=b{WQ{ioe6@r>U5<7+eBEra~8t8Knip8I4fT|9QxQp3^Hh8;D)r4{2yy~Sm6 zYJf|m&P;VE1V$E{zHFV!9wxk4kF%5Bg&BNN%zLpO*M$Y(tsH|OXKM7UDma^ewYiT0 zjNC1=`ahlmp!~>IGVo9H@-4G+c|d^wwY7_crXeT(>JF$-&=xNHYgh=Woj^Xn*p(}K zO_}+cMFTfVQ*?MOb?!={zZ~^DvXD^M=j|=q-Ey@h$N3e<4kNFn@k$YmLr0CvC;~D0 zsztUtMtgNPi|RX`F=Qh44gC%w3xOOZR3X{s7n=MZBj0|c*~W;8{)?0T9naJAYV#)_ z*f`1#L@{2PXaaFg?RrF(w=C=er8NL(8Jkp#*7^)CQX3YHVQYLDtMsmKxK`E{DfxJ{ zk218!PjtwphCR4yfP0xKs5UP#5|Vpnfktp=AveRiWg*1G-`+_;u)6&Rq1+le&oU0! z7`#4rUe`0#0+1t4%}o{rsQH{^yMD%gKKxNFS|jPrFFSVUsqC5eaT zg*~weX82DqrCxcw*yM0Y_=+mSv*Wf#LwW|wjI)MJzWAoBFWk?LET}}K=$V@c5`;gy ztvDNgaAzF<6Oe(@fn2S2h|4eQf~RaKxKu^`XphW1sYAy^W1ce{N$=eoQi_=&84T}s zky4b+PTxkHLH^4l@K$Um+?R}=*L)mO55LbJ5@YL7KH4cu_2L($+$B*3(_fA5oP z1hb0mbv8$LdzGFIRo}$w-*;?0tY)$3jJznMRr-sn<*z?=zxV$er=I`;w+w=-mJuJy zb^oIte+%&U$*$$mPMGlt)z6a@T$X9SisL~|(Fb~_pYV3f1L})_#-93I? zl4|y0HDeNn<1jZn1hdPXMa=s!c(6X9j8lJ?xzK-m>az(A1jK-B5 z)QU}MfSD?IkaOc_5z@x14l%TqLg%&|1|oqk<7m z;6%&0d3cd+RCP1eGLJ4-%Tp(y8CTFSpZh%L-PLoh{I_BR$1m{)qOhk)2KL9L=#%(U zPwjtIVV!!SCOz@0LAr3*?j5fQ4*;wfpBAwoTO2AON_>(^FYkLXVs@wjRND(|D=It3 zKh_b%{oyxS9JhL%a_>5GW(8f=*jTs7sGu%4u7WA)7K$}3OAG&?I*g)a80zR*N-HKSoixp zrsHv)d_&mKTrDuNKG@`#JuYpqW<7q_K>!sBzh!lCeCfPy;$nee|2JfQF{^tFi~o5Y z)}A(|>$(h^5k(S)h{D-k6OzL9r(faWd)X9B4eb}m__%o6vd>(Ez)X^HLX^i>uiI)R z{-dIHQQ-PyTHKjlUarE4Khio>>32>ZpW6^!GOU3kxP zbGwz4Sn=%D)~7*59cF&W5dTs5!QAxI+{L&1^ZE8C+6&gXOsJb8r1jAqDKPuK^95pW zcIfwmDj)U8jj?6orMOyaL!q9{u2lY&>!d4Us+pD&2qA~)vqysyamu~kwmV43-o8S+ ztOJY3+?y2yMR3WJi$c3~j(4w}S5zoh6!RAjJEhXgbS^f<6OpfDFpw@hs3qu5#+$yJ z9q?Yk!!~LwPmjK!jZUbz*HFB1=#V$1Qn=B62-h#MU!+Eg02{v)n^3uuoo21&9gJx2 z8GyNH3wMf*;_|>n&UdYI@~+1n(Vo|^P&p~{;N(nYhX(~n-n7*q;|_)T&REyyCp1+r zUW`;LyZDy5KDp`^?3SF_N4$bdn`p;7;tNr{;CS&M#xis0ZOD==(1rdFm>Uq#zQCFF zzd^t$v&`mTdE2aB@H%jqjg|K6#ePmaYsA~q@>h~C@A+IrN)6fz^o-_$0$c$jOF=x9 z6&q-e+cF$EIpyR}JJ)JT4>l#;fn8jmEjPny}_OB+|~A z;Md8=%h8=Mogy*q9_^wh_ue5w3oX8;F<9yjq46?Hd9GgF1>w#yS*oY7w$$f$`vZeoFy5GpYkg_sNM9=GWf0X*!igeCz7#C zDmzzq9wFB(CFQqT#vs{XwReFG#?A_voP0^oJZQ?_yHR;Njop2eK<5fHevHAOL*UMXSCm5auhso8n!7!K3^&DHQQ^0{FqfjB|V!8N>JlUl5EEuLeKR=#Z28V;xXa8cNAK5pPd zKjKNNeP4NPu0P2U5QCJG$ijzWF%Se?4G01<7RJqtOmRApTL8+3$krWOcE_4J~sE8 zUoQ6}4Pf#zOSMCMA5-2{3)57n#>Y$7Xi#`YZnD%wo}@U&++V30ayGQ+VC|4SS{koe zLjSS=aYmNczc#`$8=IC>NnF&qqF7Lucp-m8PgSe9za(4qmEfcq-u%9sES{s?1#f2S z_&NH_$sG{-)F>e3~2aOT%=Ol;hJZ1~y$fcPyn>Cb9P98-Cs(LuQ z;;cp-%l}g9TZaov5N;3kP3h7YL!nZ2zW8aN7Ag7|aA!f%8wB_9sG3y%oq`fb`P44+ zQLg8u?(k2SOK(2uhWA+}eIK4KZ8pMwAzfkh6Q5<(|(N=f`Ak`!7Q3=hY5(g)hZ~p)9kC1MVE_&}$IN$$Bes zm?_xWT3F69D@uNuq(6x^G@-xXci{)t$Yo3+??IpRayj0=!`!+aySHF(r>34VN zeKb@QR0A@&mT6o`h@pf-tDLDL#7p{7qn#tT;wMMT==-h&_(Mu7L*y~N$^lP=|F~X7 z!}AI6d@mCb9pegDmOBp^>9}s=X$IJZAI)94m)7Q@;Vp6Rd-L0!mG?2@`5EA{N)^6O zvopyh9k^34efwEr{6D-?vjChGfl_CFUJoOOo@;60eN}pN?v%?wH%17R3_abfy-m2K1cx;2l^6G*rgb*UXdGP!tgwE$A70ZUQP=>pXe(vq2H z8%^3n(}q<0u**;@jxs7?bbHQL3$SGS-5TJ}v{1|OCOd%ijjL^dGG_7}VLzjOqGDZl zv4)?hxIh4TS0J!sO*lM|;1LSDA=;cNLVvwK$g>I^nEXY^&`n7dz;*kB%aM$h({d~z5Te7vKH zpjF?_%OqK@As)SvaxMA&{N9Rt!}4eKBh!@GhSe^D{eCO95$=4=LtyWTPQ zmPvM{T5`=rnJ#on<>uy5s`iEqZghd8xG$=!VTOYFUrbJ;<9rRT)(%n5yDIj}=0?gS z*u#=#^JdNQXVVy(bL)TePu9&i0kPx;L(jN4I%N1uViyx4zSJAqC`;9Wx- zPnNc5j+`UTKJ>d>UsS>~SacjeUg5^WI)7Z`?S+*F_Afn41t!E1Be;-q36qO!@qUUk5+*j8gLqvZ`oq%&lWmse8k(zC%GNiDns*HZoaLiM`i$8X z{Fvv6B>(7UIhUdjMWx-J3eS= z(rI^;;^nirC}X0*GL>qioJ0Ejn!#J#_klC;fWCM8rZta=5=S=Y+jPofv876068I9h zH|0CBua9-D!Jtg4JheP0GgU&kIbtl}sv-w+G(@aIRIZg`%g;Q&i_82N{=Iak%mI_4 zNJ}GPN$6mf)BT6JaBT(?%}$N7cBx%1xhA`PWNWH#zPw|8!J&P(9)1H{4&i|#W_!*i zCD89??P+|OlJZ1Te1a&@hXw=(jvN(dxAaeF^x;q&wVicK!-xE^ZNnukv&Vr; zxdu-2o-i=-L+CZD$hSZar6P>t2M?d8p}AYFSyz(i4s4iRRK9n$s91MYIHz{m(OB!~ zwT{;L_^A41pa0=c*npC;XT_N0^QwzIY1n>yrQBZoH6~ zg%KZI@Nt0?ITRrw zVLoMKl7SW8#@q4n&Kn|NCquiq9bh3oZ|@_W*GtC!wn;K<}|qtemB z$d-J!nQ1p)sm^M;9#@dVx;`rWjz)n(Ac^0*ZM$s3zeF({e0ta@*;Vo6YQc-*r(t|6 z`u);*oMM0lS=i^)-HH#%l`GkLljlacu5_1qLPZ9$Por7^y)whl3ay=4-x2);O}s2! zI2P+cu}&x1RGHe_q}y7dwX!nvb|Rkx?qny)!Vbg($ylQuBHDRO$1?yWEOTxtxF-Dz zs1GRCIsMLAlv4UruDQiO2n^Tsf1M~lrD)b1X0jz}Mb{92 z0qTL%=AZlk2&i4T1LqZk5PryZv(_De|B!|hitT?FJk#@SuNT04oXu4u&me^+fb;;g z&mOt<5+W!#i-T@l$AK&qQqb0wi(qTz#Aw#CV?DOyrKX;*QaF(8P4xkfA&5W5FLmx? zXbQO)*}Lq>p8#Zv-{$Ir2VupA=awDEA3edCC?cDgfUne+GE^N5*6PB}L_>pxDxkBf zDJsuRDQ>dEPa}cibS6_K^6pqH&AF0-je7u7J;kTrItrBa;Xi1&uTfNdtr}5o0UJWV zPC95P56Jy(D78S{y(P>u(pg$Bm}Pm>JeQE5WwU~HP!#Z#!9FbfNWqq^P{>Cg00pM_ z<^p$rj*?zO-M%-|GojC@YkCV{i@+4flbG9VUJ;8*z-xtOthY01QgA8b;8PY+y`dSc5QDSr(S>2a=Tz zklmS-dH~+Z!GG$FCy<{5Y!yJM(S?1$J#$7#DcauHhkIf(@qS``$*lM;jgcTkuGT%5 z%`X7nK3R)2qovbO=i4E);Y?QZuUa_6yzIdYtTP9#zlXJeOVTc$ zJ=7!<7+L{W@R~UhtubOEl*;(qZL)?L?sIc0@RFt4O5 zk)2q}#TZ49N@zn%EM-6T4fsZyZstlF&B_PJx5lP(VXKu^$Hjp(RN}0^5`=VcITYxu zjBUv_U&Sy3^bQEuY_i|Q7tY)p!}Hm-A!v;h~E7lG{F$=?rNbw&>Y)5 zo?QV%jX*QHZ_I2>9$f#wd$BS`jQs_dpQz064h4_t+c%p z^KZ;)n45l;%`WfA8Y%5@<4)0(^{3fq8Td9k1=Oz#xW5oBtU4oxq8M2byA~{aresA= z`rR0ak>Y-xT(T)T`+*UY@2|M&K|x=d&Oiq>w6^sv^%qmQgq1?fW-iC(`>dZD9h-*0Nap!5L6 zD#c0tpyQb0jf{=Epui&#o0gnN?`-q@tVG$|rUMKX$$;McKZAu-+d8bp{DSiP9;~0v zx|c{b0h|)3_g1YvC2)yu1VO8Aew`Yj*Mb4*V0@Wj4XleZ$|!l zzP@US`2F>Qq}LhnX|teqwJ zl3XFJ0wY?z&n_g|H#9AeRN^t-Wfi&-J!dsz83)CxOfa>Qi9f zU@Zu~R3P`aPDtaL%cd_>)$a^dNW&GfsIA`u;f$R5ziJCl9o={b;?P36*f)8yctifL z&PLZvK;^n#n3~Gk>`Sm0a&5qSvDsz2TwIy z@V+`Tz`%yLRio_wUc#hZ=jb`{O z9Ki(L<;0v9C8~tco198#IuPfoaKtQ(-pHy3_97_Yp;&Z@_-aH5E&0@7)mLpvjz?ua z>zm)W=*eO;7V{_h4#b@rtmF@@t~q$4m!o!(QUiRU2_UL{uTkyd(9pgc;GJuqy}J#n z)sqLT%hRY9*hK^RG~^czN)&?8GywvQto(I)4HqYU?mTr7ynm~99=Nlnp#SY#%^wi> zw%hGB0G(@-nEnaR1=YbC0?oo$%lMAFDqNbtM0JV%Sv72{4%n}}=KsdV_7AA}PZ8&K zChVG}W>$#6PbNv<<^8@}p1=?uyj`_yA4L3tb>YK90}jUE?`;$~H4W&E3>ZZW z>toyuxXgOCy@mfM`PU&l!IoFERgmo;cN+tA5_HY@{dq=owUqrVp0}mK|C1X2cL??$ z<93Gzcx@Pqg|?!S3fWTa;DyX(j>c2G$*O;+tJu Date: Thu, 19 Oct 2017 17:28:09 -0400 Subject: [PATCH 5/5] Update Writeup.md --- Writeup.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Writeup.md b/Writeup.md index aaf6d88..816e3d0 100644 --- a/Writeup.md +++ b/Writeup.md @@ -1,6 +1,6 @@ # Writeup HW 4 ## Deliverable 1 - +![diagrams](https://github.com/TShapinsky/HW4/blob/master/diagrams.jpg?raw=true) ## Deliverable 6 ```verilog assign out = enable<