From e7f85b93dbac54b7491b35ef970f3274dc01feac Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Mon, 16 Oct 2017 16:58:59 -0400 Subject: [PATCH 01/20] Create register32 module. --- register.v | 18 +++++++++++++++++- 1 file changed, 17 insertions(+), 1 deletion(-) diff --git a/register.v b/register.v index dc9b8cb..c9b212c 100644 --- a/register.v +++ b/register.v @@ -14,4 +14,20 @@ input clk end end -endmodule \ No newline at end of file +endmodule // register + +module register32 +( +output reg[31:0] q, +input[31:0] d, +input wrenable, +input clk +); + // TODO: figure out how to use register module here. + always @(posedge clk) begin + if(wrenable) begin + q[31:0] = d[31:0]; + end + end + +endmodule // register32 \ No newline at end of file From 030e1b1d5587b98ffd1198063bdd54b5f14b5465 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Mon, 16 Oct 2017 21:26:32 -0400 Subject: [PATCH 02/20] Implement 32 bit register. --- register.v | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) diff --git a/register.v b/register.v index c9b212c..79b350d 100644 --- a/register.v +++ b/register.v @@ -18,16 +18,15 @@ endmodule // register module register32 ( -output reg[31:0] q, +output [31:0] q, input[31:0] d, input wrenable, input clk ); // TODO: figure out how to use register module here. - always @(posedge clk) begin - if(wrenable) begin - q[31:0] = d[31:0]; - end + genvar i; + for (i = 0; i < 32; i = i + 1) begin + register singleRegister (q[i], d[i], wrenable, clk); end endmodule // register32 \ No newline at end of file From 23830bfff0605a8bb473e117c84dc446c29dbeb4 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:24:45 -0400 Subject: [PATCH 03/20] Add description of how the decoder works --- decoders.v | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/decoders.v b/decoders.v index dd467c2..bfb1ff9 100644 --- a/decoders.v +++ b/decoders.v @@ -12,3 +12,9 @@ input[4:0] address endmodule +// Enable is set to be the least significant bit of out. The +// value of address (which can be between 0 and 31) indicates +// the number of bits out should be be shifted left by. + +// So, the decoder selects the register which is being written to (if any) +// by using the value of adress. From e120246e8b9614cd10a1158c6d819f06b1bd05b2 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:26:32 -0400 Subject: [PATCH 04/20] Add more test cases. --- regfile.t.v | 32 ++++++++++++++++++++++++++++++++ 1 file changed, 32 insertions(+) diff --git a/regfile.t.v b/regfile.t.v index f13815a..cea6e23 100644 --- a/regfile.t.v +++ b/regfile.t.v @@ -3,6 +3,9 @@ // or broken register files, and verifying that it correctly identifies each //------------------------------------------------------------------------------ +`include "regfile.v" +`include "register.t.v" + module hw4testbenchharness(); wire[31:0] ReadData1; // Data from first register read @@ -138,6 +141,35 @@ output reg Clk $display("Test Case 2 Failed"); end + // Test Case 3: + // Do not enable writing, check to ensure register + // data is not replaced by WriteData; + WriteRegister = 5'd2; + WriteData = 32'd20; + RegWrite = 0; + ReadRegister1 = 5'd2; + ReadRegister2 = 5'd2; + #5 Clk=1; #5 Clk=0; + + if((ReadData1 != 15) || (ReadData2 != 15)) begin + dutpassed = 0; + $display("Test Case 3 Failed"); + end + + // Test Case 4: + // Attempt to write to the zero register. + // Ensure that the data value is still 32'b0. + WriteRegister = 5'd0; + WriteData = 32'd15; + RegWrite = 1; + ReadRegister1 = 5'd0; + ReadRegister2 = 5'd0; + #5 Clk=1; #5 Clk=0; + + if((ReadData1 != 0 || ReadData2 != 0)) begin + dutpassed = 0; + $display("Test Case 4 Failed"); + end // All done! Wait a moment and signal test completion. #5 From 755447aefabedb22d0044cc3b2022d812c74982a Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:27:38 -0400 Subject: [PATCH 05/20] Implement the regfile module. --- regfile.v | 113 +++++++++++++++++++++++++++++++++++++++++++++++------- 1 file changed, 100 insertions(+), 13 deletions(-) diff --git a/regfile.v b/regfile.v index b8a3c74..893bf11 100644 --- a/regfile.v +++ b/regfile.v @@ -6,22 +6,109 @@ // 1 synchronous, positive edge triggered write port //------------------------------------------------------------------------------ +`include "register.v" +`include "multiplexer.v" +`include "decoders.v" + module regfile ( -output[31:0] ReadData1, // Contents of first register read -output[31:0] ReadData2, // Contents of second register read -input[31:0] WriteData, // Contents to write to register -input[4:0] ReadRegister1, // Address of first register to read -input[4:0] ReadRegister2, // Address of second register to read -input[4:0] WriteRegister, // Address of register to write -input RegWrite, // Enable writing of register when High -input Clk // Clock (Positive Edge Triggered) +output[31:0] ReadData1, // Contents of first register read +output[31:0] ReadData2, // Contents of second register read +input[31:0] WriteData, // Contents to write to register +input[4:0] ReadRegister1, // Address of first register to read +input[4:0] ReadRegister2, // Address of second register to read +input[4:0] WriteRegister, // Address of register to write +input RegWrite, // Enable writing of register when High +input Clk // Clock (Positive Edge Triggered) ); - // These two lines are clearly wrong. They are included to showcase how the - // test harness works. Delete them after you understand the testing process, - // and replace them with your actual code. - assign ReadData1 = 42; - assign ReadData2 = 42; + wire[31:0] decoderOut; // Send a wrenable to at most one register. + wire[31:0] register0out; // The output data of the register. + wire[31:0] register1out; + wire[31:0] register2out; + wire[31:0] register3out; + wire[31:0] register4out; + wire[31:0] register5out; + wire[31:0] register6out; + wire[31:0] register7out; + wire[31:0] register8out; + wire[31:0] register9out; + wire[31:0] register10out; + wire[31:0] register11out; + wire[31:0] register12out; + wire[31:0] register13out; + wire[31:0] register14out; + wire[31:0] register15out; + wire[31:0] register16out; + wire[31:0] register17out; + wire[31:0] register18out; + wire[31:0] register19out; + wire[31:0] register20out; + wire[31:0] register21out; + wire[31:0] register22out; + wire[31:0] register23out; + wire[31:0] register24out; + wire[31:0] register25out; + wire[31:0] register26out; + wire[31:0] register27out; + wire[31:0] register28out; + wire[31:0] register29out; + wire[31:0] register30out; + wire[31:0] register31out; + + // The decoder block. + decoder1to32 decoder(decoderOut, RegWrite, WriteRegister); + + // The 32 register blocks. + register32zero reg0 (register0out, WriteData, decoderOut[0], Clk); // The zero register. + register32 reg1 (register1out, WriteData, decoderOut[1], Clk); + register32 reg2 (register2out, WriteData, decoderOut[2], Clk); + register32 reg3 (register3out, WriteData, decoderOut[3], Clk); + register32 reg4 (register4out, WriteData, decoderOut[4], Clk); + register32 reg5 (register5out, WriteData, decoderOut[5], Clk); + register32 reg6 (register6out, WriteData, decoderOut[6], Clk); + register32 reg7 (register7out, WriteData, decoderOut[7], Clk); + register32 reg8 (register8out, WriteData, decoderOut[8], Clk); + register32 reg9 (register9out, WriteData, decoderOut[9], Clk); + register32 reg10 (register10out, WriteData, decoderOut[10], Clk); + register32 reg11 (register11out, WriteData, decoderOut[11], Clk); + register32 reg12 (register12out, WriteData, decoderOut[12], Clk); + register32 reg13 (register13out, WriteData, decoderOut[13], Clk); + register32 reg14 (register14out, WriteData, decoderOut[14], Clk); + register32 reg15 (register15out, WriteData, decoderOut[15], Clk); + register32 reg16 (register16out, WriteData, decoderOut[16], Clk); + register32 reg17 (register17out, WriteData, decoderOut[17], Clk); + register32 reg18 (register18out, WriteData, decoderOut[18], Clk); + register32 reg19 (register19out, WriteData, decoderOut[19], Clk); + register32 reg20 (register20out, WriteData, decoderOut[20], Clk); + register32 reg21 (register21out, WriteData, decoderOut[21], Clk); + register32 reg22 (register22out, WriteData, decoderOut[22], Clk); + register32 reg23 (register23out, WriteData, decoderOut[23], Clk); + register32 reg24 (register24out, WriteData, decoderOut[24], Clk); + register32 reg25 (register25out, WriteData, decoderOut[25], Clk); + register32 reg26 (register26out, WriteData, decoderOut[26], Clk); + register32 reg27 (register27out, WriteData, decoderOut[27], Clk); + register32 reg28 (register28out, WriteData, decoderOut[28], Clk); + register32 reg29 (register29out, WriteData, decoderOut[29], Clk); + register32 reg30 (register30out, WriteData, decoderOut[30], Clk); + register32 reg31 (register31out, WriteData, decoderOut[31], Clk); + + // The multiplexer choosing the data associated with ReadRegister1. + mux32to1by32 mux1 (ReadData1, ReadRegister1, register0out, register1out, + register2out, register3out, register4out, register5out, register6out, + register7out, register8out, register9out, register10out, register11out, + register12out, register13out, register14out, register15out, register16out, + register17out, register18out, register19out, register20out, register21out, + register22out, register23out, register24out, register25out, register26out, + register27out, register28out, register29out, register30out, register31out); + + // The multiplexer choosing the data associated with ReadREegister2. + mux32to1by32 mux2 (ReadData2, ReadRegister2, register0out, register1out, + register2out, register3out, register4out, register5out, register6out, + register7out, register8out, register9out, register10out, register11out, + register12out, register13out, register14out, register15out, register16out, + register17out, register18out, register19out, register20out, register21out, + register22out, register23out, register24out, register25out, register26out, + register27out, register28out, register29out, register30out, register31out); endmodule \ No newline at end of file From 6c8622b63ed469fcac433eb36020488df87f76ad Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:29:08 -0400 Subject: [PATCH 06/20] Add 32 bit zero register module. --- register.v | 23 +++++++++++++++++++++-- 1 file changed, 21 insertions(+), 2 deletions(-) diff --git a/register.v b/register.v index 79b350d..edd7ed4 100644 --- a/register.v +++ b/register.v @@ -16,6 +16,8 @@ input clk endmodule // register +// 32 bits of D Flip-Flops with enable. +// Positive edge triggered module register32 ( output [31:0] q, @@ -23,10 +25,27 @@ input[31:0] d, input wrenable, input clk ); - // TODO: figure out how to use register module here. + genvar i; for (i = 0; i < 32; i = i + 1) begin register singleRegister (q[i], d[i], wrenable, clk); end -endmodule // register32 \ No newline at end of file +endmodule // register32 + + +// 1 bit register with constant output 0. +// Inputs are ignored. +module register32zero +( +output [31:0] q, +input[31:0] d, +input wrenable, +input clk +); + genvar i; + for (i = 0; i < 32; i = i + 1) begin + assign q[i] = 1'b0; + end + +endmodule // register32zero \ No newline at end of file From 55234aa65c780144ebf82ba9833752141fd3b3b7 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:30:14 -0400 Subject: [PATCH 07/20] Add unit tests for modules. --- decoders.t.v | 27 ++++++++++++ multiplexer.t.v | 56 +++++++++++++++++++++++++ register.t.v | 109 ++++++++++++++++++++++++++++++++++++++++++++++++ 3 files changed, 192 insertions(+) create mode 100644 decoders.t.v create mode 100644 multiplexer.t.v create mode 100644 register.t.v diff --git a/decoders.t.v b/decoders.t.v new file mode 100644 index 0000000..3c12d7e --- /dev/null +++ b/decoders.t.v @@ -0,0 +1,27 @@ +//------------------------------- +// Unit test the decoder module +//------------------------------- + +`include "decoders.v" + +module decoder1to32Test(); + wire[31:0] out; + reg enable; + reg[4:0] address; + + decoder1to32 DUT (out, enable, address); + + initial begin + // Test Case 1: do not enable writing to any register. + enable = 0; address = 5'd14; + if (out != 0) begin + $display("Decoder Test Case 1 failed"); + end // + + // Test Case 2: enable writing to one register only. + enable = 1; address = 5'd14; + if (out[31:15] != 0 || out[14] != 1 || out[13:0] != 0) begin + $display("Decoder Test Case 2 failed"); + end + end // +endmodule // decoder1to32Test \ No newline at end of file diff --git a/multiplexer.t.v b/multiplexer.t.v new file mode 100644 index 0000000..5fb4812 --- /dev/null +++ b/multiplexer.t.v @@ -0,0 +1,56 @@ +//-------------------------------- +// Test the multiplexer modules. +//-------------------------------- + +`include "multiplexer.v" + +// Unit test the 32:1 mux module. +module mux32to1by1Test(); + wire out; + reg[4:0] address; + reg[31:0] inputs; + + mux32to1by1 DUT (out, address, inputs); + + initial begin + // Test Case 1: + // Ensure that out is the same as the bit of the input at the + // given address. + inputs = 32'h000FFF; address = 5'd9; + if (out != 1) begin + $display("32:1 mux Test Case 1 Failed."); + end + end +endmodule // mux32to1by1Test + +// Unit test the 32 wide 32 deep mux module. +module mux32to32by1Test(); + wire[31:0] out; + reg[4:0] address; + reg[31:0] input0, input1, input2, input3, input4, input5, input6, input7, input8; + reg[31:0] input9, input10, input11, input12, input13, input14, input15, input16; + reg[31:0] input17, input18, input19, input20, input21, input22, input23, input24; + reg[31:0] input25, input26, input27, input28, input29, input30, input31; + + mux32to1by32 DUT (out, address, input0, input1, input2, input3, input4, input5, + input6, input7, input8, input9, input10, input11, input12, input13, input14, + input15, input16, input17, input18, input19, input20, input21, input22, input23, + input24, input25, input26, input27, input28, input29, input30, input31); + + initial begin + // Test Case 1: + // Ensure that the value chosen by the mux matches the value at the given address + address = 5'd20; + input0 = 32'd0; input1 = 32'd1; input2 = 32'd2; input3 = 32'd3; input4 = 32'd4; + input5 = 32'd5; input6 = 32'd6; input7 = 32'd7; input8 = 32'd8; input9 = 32'd9; + input10 = 32'd10; input11 = 32'd11; input12 = 32'd12; input13 = 32'd13; input14 = 32'd14; + input15 = 32'd15; input16 = 32'd16; input17 = 32'd17; input18 = 32'd18; input19 = 32'd19; + input20 = 32'd20; input21 = 32'd21; input22 = 32'd22; input23 = 32'd23; input24 = 32'd24; + input25 = 32'd25; input26 = 32'd26; input27 = 32'd27; input28 = 32'd28; input29 = 32'd29; + input30 = 32'd30; input31 = 32'd31; + if (out != 20) begin + $display("32 wide 32 deep mux Test Case 1 failed"); + end + end +endmodule // mux32to32by1Test + diff --git a/register.t.v b/register.t.v new file mode 100644 index 0000000..7688978 --- /dev/null +++ b/register.t.v @@ -0,0 +1,109 @@ +//-------------------------- +// Test the register modules +//-------------------------- +`include "register.v" + +// Unit tests for the single bit register module. +module registerTest(); + wire q; + reg d; + reg wrenable; + reg clk; + + register DUT (q, d, wrenable, clk); + + initial begin + // Test Case 1: + // Write a 1 to the register. + d = 1; wrenable = 1; + #5 clk = 0; + #5 clk = 1; + if (q != d) begin + $display("Register Test Case 1 failed"); + end + + // Test Case 2: + // Write a 0 to the register. + d = 0; wrenable = 1; + #5 clk = 0; + #5 clk = 1; + if (q != d) begin + $display("Register Test Case 2 failed"); + end + + // Test Case 3: + // Write not enabled, the data written should not match the output. + d = 1; wrenable = 0; + #5 clk = 0; + #5 clk = 1; + if (q == d) begin + $display("Register Test Case 3 failed"); + end + end +endmodule // registerTest + +// Unit tests for the 32 bit register module. +module register32Test(); + + wire[31:0] q; + reg[31:0] d; + reg wrenable; + reg clk; + + register32 DUT (q, d, wrenable, clk); + + initial begin + + // Test Case 1: + // Write to the register + d = 32'hFFFAAA; wrenable = 1; + #5 clk = 0; + #5 clk = 1; + if (q != d) begin + $display("32 bit register Test Case 1 failed"); + end + + // Test Case 2: + // Do not enable writing to register + d = 32'hFFF000; wrenable = 0; + #5 clk = 0; + #5 clk = 1; + if (q == d) begin + $display("32 bit register Test Case 1 failed"); + end + end +endmodule // register32Test + +// Unit tests for the 32 bit zero register module. +module register32zeroTest(); + wire[31:0] q; + reg[31:0] d; + reg wrenable; + reg clk; + + register32zero DUT (q, d, wrenable, clk); + + initial begin + + // Test Case 1: + // Write to the register + d = 32'hFFFAAA; wrenable = 1; + #5 clk = 0; + #5 clk = 1; + if (q != 0) begin + $display("32 bit zero register Test Case 1 failed"); + end + + // Test Case 2: + // Do not enable writing to register + d = 32'hFFF000; wrenable = 0; + #5 clk = 0; + #5 clk = 1; + if (q != 0) begin + $display("32 bit zero register Test Case 2 failed"); + end + end +endmodule // register32zeroTest + + + From 156f34e542634e58c13e50ab79aaafe07e0e224f Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:31:53 -0400 Subject: [PATCH 08/20] Add run all test scripts --- run_tests.sh | 8 ++++++++ testing_guide.md | 33 +++++++++++++++++++++++++++++++++ 2 files changed, 41 insertions(+) create mode 100755 run_tests.sh create mode 100644 testing_guide.md diff --git a/run_tests.sh b/run_tests.sh new file mode 100755 index 0000000..6b30798 --- /dev/null +++ b/run_tests.sh @@ -0,0 +1,8 @@ +#!/bin/bash +# Run the test scripts for the register file + +make && +./register_test && +./mux_test && +./decoder_test && +./regfile_test \ No newline at end of file diff --git a/testing_guide.md b/testing_guide.md new file mode 100644 index 0000000..c318a70 --- /dev/null +++ b/testing_guide.md @@ -0,0 +1,33 @@ +# How to Run Tests +## Getting Started +Before being able to run tests, you must compile the verilog files. +To do this, at the command line enter the command `make` This will +compile any of the necessary files to create 4 executables: +* `register_test` unit tests for the register modules. +* `mux_test` unit test for the multiplexer module. +* `decoder_test` unit tests fot the decoder modules. +* `regfile_test` the testbench for the entire register file. + +# Run Single Test +Enter the command +```bash +./ +``` + +To run a single file once it has been compiled. If all unit tests pass, nothing will be printed to the terminal. The testbench will print "DUT passed? 1" if all tests in the testbench pass. A notice that one or more tests failed will be printed to the terminal otherwise. + +## Run All Tests +The script `run_tests.sh` will compile and run all of the tests at once + +If you are running the script for the first time, you will need to type +```bash +chmod 755 run_tests.sh +``` +In order to gain permission to run the script. + +Once you have gained permission run: +```bash +./run_tests.sh +``` + +If all tests pass, the only output to the terminal from the script will be any commands run from the makefile and "DUT passed? 1". Otherwise, notice that one or more tests failed will be printed to the terminal. \ No newline at end of file From 71f525dbc0ab4b1906aaf23697fbfbae717023b1 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:33:13 -0400 Subject: [PATCH 09/20] Add makefile to compile all test files into binaries. --- makefile | 10 ++++++++++ 1 file changed, 10 insertions(+) create mode 100644 makefile diff --git a/makefile b/makefile new file mode 100644 index 0000000..81d8e6c --- /dev/null +++ b/makefile @@ -0,0 +1,10 @@ +all: register_test mux_test decoder_test regfile_test + +register_test: register.t.v register.v + iverilog -Wall -o register_test register.t.v +mux_test: multiplexer.t.v multiplexer.v + iverilog -Wall -o mux_test multiplexer.t.v +decoder_test: decoders.t.v decoders.v + iverilog -Wall -o decoder_test decoders.t.v +regfile_test: regfile.t.v regfile.v register.v multiplexer.v decoders.v + iverilog -Wall -o regfile_test regfile.t.v From 7cf1d243e98e92b9e6fe1f1ee22af26bd7cf0884 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 00:33:59 -0400 Subject: [PATCH 10/20] Implement multiplexer modules. --- multiplexer.v | 56 +++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 56 insertions(+) create mode 100644 multiplexer.v diff --git a/multiplexer.v b/multiplexer.v new file mode 100644 index 0000000..dd4798a --- /dev/null +++ b/multiplexer.v @@ -0,0 +1,56 @@ +// A 32:1 multiplexer. +module mux32to1by1 +( +output out, +input[4:0] address, +input[31:0] inputs +); + assign out = inputs[address]; +endmodule // mux32to1by1 + +module mux32to1by32 +( +output[31:0] out, +input[4:0] address, +input[31:0] input0, input1, input2, input3, input4, input5, input6, input7, input8, +input[31:0] input9, input10, input11, input12, input13, input14, input15, input16, +input[31:0] input17, input18, input19, input20, input21, input22, input23, input24, +input[31:0] input25, input26, input27, input28, input29, input30, input31 +); + + wire[31:0] mux[31:0]; // Create a 2D array of wires + assign mux[0] = input0; + assign mux[1] = input1; + assign mux[2] = input2; + assign mux[3] = input3; + assign mux[4] = input4; + assign mux[5] = input5; + assign mux[6] = input6; + assign mux[7] = input7; + assign mux[8] = input8; + assign mux[9] = input9; + assign mux[10] = input10; + assign mux[11] = input11; + assign mux[12] = input12; + assign mux[13] = input13; + assign mux[14] = input14; + assign mux[15] = input15; + assign mux[16] = input16; + assign mux[17] = input17; + assign mux[18] = input18; + assign mux[19] = input19; + assign mux[20] = input20; + assign mux[21] = input21; + assign mux[22] = input22; + assign mux[23] = input23; + assign mux[24] = input24; + assign mux[25] = input25; + assign mux[26] = input26; + assign mux[27] = input27; + assign mux[28] = input28; + assign mux[29] = input29; + assign mux[30] = input30; + assign mux[31] = input31; + assign out = mux[address]; + +endmodule // mux32to1by32 \ No newline at end of file From a5d2f4df606e02604005fd0e2d756ea853210e96 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:14:22 -0400 Subject: [PATCH 11/20] Create short reort for deliverables 1 and 6 --- report.md | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 report.md diff --git a/report.md b/report.md new file mode 100644 index 0000000..8d0848e --- /dev/null +++ b/report.md @@ -0,0 +1,13 @@ + +# HW 4 "Report" + +## Deliverable 1 + + +## Deliverable 6 +Enable is set to be the least significant bit of out. The +value of address (which can be between 0 and 31) indicates +the number of bits out should be be shifted left by. + +So, the decoder selects the register which is being written to (if any) +by using the value of adress. \ No newline at end of file From ef964c57ae370bbca0fc7c36314164c4fe359242 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:15:17 -0400 Subject: [PATCH 12/20] Add photo of register implementations. --- Registers.JPG | Bin 0 -> 153946 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 Registers.JPG diff --git a/Registers.JPG b/Registers.JPG new file mode 100644 index 0000000000000000000000000000000000000000..7b795aa62b55d43ae19819d13ee418ee03cf50d9 GIT binary patch literal 153946 zcmb4pcRX9)|9@grT8U7*L_}<{shSaDi&?Ao-c%K>7BylfHf<5HX-ln6)mlMotJP|a zPSw)ZZ24V%kI(1(dwhR?{LXcAp69jB>zsSW>mK)T=I{-O-3W`rg1}%92n<}H!?*0o z`q6&gAdsmkNCE@`F@cVOPk?9u!~onRF#8|O4B#R#6hsT;fAqk-Ao@QT1gZe@{fq0s zsJ~?Z3t;pgT}D8z87%(?!vNe#!|@+GDSjZjzwHdCivEKc5u5}XC^Uc5 zk1*|jVfw$Z06=H>FS^hle}n;_$0_oE+H&OocZ$LvIxvQ4IVg&MFdV=Z!{2yYYKQoH6YL_SrCY=4FqCM1BU4Zz{XKJvS|g}Fott<{fxA9N(#zo zc_mL3HFtLpZ+Q;|Z@K>~9Vz`$_^Agv5Q{YQoabo?I-Scd(Jk7gCfJv7iSB2rGy96|#!1qp+r zz~_N05*!E)1qXuz!0up2Fg;isqyo1)u$+uL)8E8G%H>A%Kn>*ble^0q&7?doUefUlVj1qz~x(0W>c_ z%Mp-s1-pP9z;<97um%VV)QW&9uqE(o2{r|r zfEmH30q<2o7=UjFTo!ZZ)JVUSn_!r=v>fh}% z0As+q09O<6zzB>3WOe`WPXjXAfPWaUHdqO)0apE^sSnlxp8+5K=^Yi2E>OP~pra1x zD*<*fK&}PI(g5vO2Pp#lQvgp3tN=y>xi(-~8+;lp0p0*90ha_w2P6pCRRF62e62s% z888a){wYWtBziR2n2tsSF!GK@Hf9C0%M<% z8<<0X=ypeRx<4z<5nT>IN4kHtis26(z>J2#96H*mj$|x=8vf`SAL+9FE8`0i_(KOK zJ!2^7)W7uDBQYQy?TbftA%Ep;a3J>tZiBzdpc8-Pkh;IGWpy#oW!`e+>h3^Rd%KK%z@`eW_?+D;Gux9DIVqz9s>rK6*xr3ZfK>48zf%ESny z6UUA*vqDZlp^y_02nQGcNe&nvWV(bF<80Zeru zbOF=S(9_V-(y;)K|A+_dh7fvTCCZ;yr)E$hG|^jB+madN4Y`N)uf4ud>c(QMmH1&M$ zRYC{z3#Kp5AWb%FW#z!m71prA+0@-^tL9tK`Hv72VUm4iPA2hIvHklALc;j7Xf6L2 z$>Yrs~o}Kw@lm=#VmF^HkE!?ed%PREfjY@!8I~Q~lv))=! zn@pT|T}p2>2KBeNXDV~QE!b4Gx=Oc?`k=)o**3ILm)Z@*6@zlqny;-J*EIhQGuKm%o1wO9{ehmb(O+%9zi)E4{&>E|zR%t*W5qpp zfS`M~o)iiW4}Z~w{m{){r5lXznNAc zmLdz@zM_@5TYMd*`a*#?6Jp!?(bm@mBh+AuWTNv76WFdV%0J^_+ z9F<%oL3$Pil39RBP%{OzRnyEjO=Pa44Yc)cs&;s>wEV{56Mk7_$r&UtuzTgj(^gnE zkCKv7<5=TRkdj;5HP?x02BBgR$Coy?^ZoBWPN%|4c-E8d<4VLknSMp)@ryHRc%I^m zY;yJ69~(bk=24^W_>CHI@x*X1oUpu_hEvt)(3aGg?G;#ERNi*TXzj^5htAIkflzSU)~}+!d%e@m)-h@-UJ31HrS>GzyDc# z{kP420Le zb{O$qe+#+@FvUM2dGn*pQTQ zF!?*eSJzQYkG?dz%^4R}T3ZYcKLIffsF9_yeYkO^TW?7QJQhvYzubKMz+W^ z=hxR`el~m+Xj>t~$m<%43}~VsUrKQpd#l%DB;$B{P-VNWr-4^Oh=l;M0HRiw`Tntz zkvr4wkNlPsrdK`FRPSuO;mG**ex%0XOGrd4l0rO_E?SY1t8DOY;7MOZ=VFy(nn;FR zrVTB$2b_^Rxj)&5YQLR?3F>F$bwwj_cbgwV#Vf%)HA8;Vto-NWBT_ysUOFy z<_MCyXTRVD!t^P}N zN02a)i>hNmRp6|i!)!&GV3rQIv<_#1a|kfpH!?C*T~;V2BB8A+&eEfpXz`s7|f(!Y1o`KsbnaY`l>UsJ48ODTrS;d%Hd&C5I zsCmM*G=(&x)uV$#gS;Z$grb82gCo?V&%pl}R|oJBSr#tzMPaw3?cltem{8yu36ZAsulsIMOXz zIyge)9}5^DVh;BUjr0o%7CN%%<{olB@(dg<3)CR{cNItQUtcwagoOUnUaw%Fkr#m0 z0D)8zh?a(i>Hn3E0<-^-Sb!1}Gcz+I<1scCCN=;wfq`g_fu4bdfq{jM4fy;2PJbdX z+BeMF|05Cu-Y10D3YXSprr%OfWSbYLBBfSTZuf-I&9!!sLk+-#A5d@y!SY_wKQ`T;Us{ih2p8liWO39^@8e;!}*WzO_w6 zWNzg+V3qeMN;^vb#9~L`^U=Etz(fCu)M$YBLpmCuYC0e?10pqHG%XZ}{pdL0Ve%DM ziMn`tm`HQC!nY01{~5g+tzuHhHQxOayihPQ1qW`uFVtyUU|D^_|LYhyQbbAtI&Cv|S<;4Tf$aW3vMaHl|y zbI+2WT32(3R|JY1Z*ogO_c^89^HLtxCY5vC2sLR5zeX%jic>rrEq0O1kDJ`v-(ANB z@lqCvRnu06ws5G)+ur=DdsAL$@U@;1$9h9ErL4JR9nbxeYUx?w>Bb^xhAmE1N>n2E zO+uhKjjg6?`veniWYRm5s9}2w4^Kz)7t<1i4~kA|uD7Rp&6Gu6!6)E$Rjr-i@@C&X z7$tzyOZ6_M6DgJpt1WgfN2(br7e6*n_Tv;Mq{v_wtL<1@ovJ>0!L7WHE2S$B*KsfB z(@{`Mxjz*5d7kRan~+t6Yl(`HpII73KS1=yhI{J5&+_>e!>s#RGHwFDDwmhc>f~)wM3ivK4S!pgSDZH$Zp($gG1V@~K_{fF zI$Yp{_)TQ-2!p++h7I^w>a;9%-!b8;LnFLrCKI`>iELbG(Tw||708oqbP3jyD|I54 zwW*j0?M&tTO8Z(`MN7D$mvk5zzfvm~_<~fa#(Ng(!P7zKjz~}B^{Tl);=B4mol&sY zpF=N!IWJ+yvxt(C+bM6uBHGK;N@x^kK?P=BadZ$)!*C!vgwL9!$oCQqOL%p?>TI2h z+2kDVGt#JBBTiXLC%>tn>0`3i#)1)uK}%`-QVwEe8XHvkD%!9%VOLneO4d@$D_E!v zIz^v(%ukS3{prIdRPh+g(Y&(;W)Vyd2_B}lx;Wpq>!pDuyneno@$yjem3TTKq$N4m2N|J z?I*T|PxM67+yfiv3`ydwya+=nDdij!3iENxW1_-!w7LRB1R?GBbl$TF^o6*5lq}g zp;dASTVrkIfeN_=*l|Sb%#x8FMxzMCVb9Bf{Wy$_M?{%ab9j!i z#!!%$_ag$2Os5r1i0X^oJ$$V0MG4-TDbVG)TB{`GBZKm`?qGG+)IZ}VqY75N@_=a!9{Iq^KQzBfEDQ>;%PFwDJ-?a_{X9_{HVjD3z6(D8_Q=qvyGnx}E1 z$z;3Yz|1TT_O0)!{yIBW%qb+s;^AHUitEL?o~FEG(6}skx=Mn=T;uo?w@w~2?AU-? z;XP5TFn1x39bGG$T)20_|Kty9fr9GsFqb40uX{FY)4-(Hb-3>$7~&-m`I_()@^x#C zM|RDpbBGOHg5tsIomG?W%bp|)%Pc=>Y_bg1*N0}@#eH{vrZ@22q&hX@xlpfY+a9Uf zPnE!~o8_EQb>iHTpXdTI-Ra=$msXn+59-Hm9`f$3RY^)>sIbzkJSBvwV)PALqIxl= z79Oa=C9vaLR$d}pNU#;;nJm#^@wFsgWV5iyJ*JRV%;|23BS>*i1tHx7gG!0z#kgFq zbcLZogt2#OW)Cm4fjRIu zH%~ohLSWi}sHk`8h+yA675BFGH|~b98E`xw#(p7PA}>%PsTwh4mVC9P)=ac9X;4(S zC586N+0*?VXT=Sx^Mo37YD(3iNg|oKX4j8 z?W16dPU>KCavI6LIn86pq;%h_cQEB_Pw0F>hCDRQB*m-TS5UY*E+2iQ_9XTVyE|D9 zMd($8!rkvw$aA}PC(tKcL(2Q=2w(BUoIcI#NjWQXfL-d~(DO~JgLb3uL2~4=#V%)I z*ugo~TT5vYO{qaV17XEEVTSxq@cK70jEIhD99)HPsVGH)w6T{mG9dz1E8h`M1K&R> zs6%7LKU!;%i-?5F+Amn52_}9;{^N7Zy!yoau}9OMi_#)Ab8rQIid$o1bhX5rG#0e@ zHdB791xKmNvstG@5d4f$RdB-F+$6Ens}zb!kt(c^ z$uHdycYwKfjwaMQ!lA9Qr~|@OV*g>@LP=I+oguBj-LP>8h&A+=>U>?+x$E>NPwMAyI!5wH@J&S0w z4oAdE4-+0+biTHkz;IuSf(^megu)}zOHkd}FXRw1oL2O?6P6a$+XN$EuuN8NRyfS_ zp3Y&%=h$VbdY7`gwv!)Z-piYY8cBF!-Ls4*Yllsu-+FLyQ(SzfIN;uJQ4~{yi1qRo zmr@N7;x) z$$Esb;32z@i*oC;Q2(=dIw5iVJ#5;$*2#!&F|L zjy7KV^vzJB0k+8(B?u}*@58g* zsAGPP1NqH!&0)kyH*9l`eSWJoUy3)*G7OUexyPm;mD(6M&D}bXD}#sWPzE(k;hKm0f?PTS zK_v7x0uoq{eD)^VfdTur4lH?$IeApSvr1UCLVME2-F2q?K{1OS%w0LD+SgLN#Y1rU zI9Ye$5TrXT??bDrFI&X{4kq&S*-iI9PVr+AP!Qm-LTBSl>AD5@iP5y9>z6mhtID<8cO2~mJY z__0cavn`318z5mY8>kVpoa>5qLSF)=gGF8u>q|zak?0Z(lM$4j98|6m9d!<7)KqTh zTaZ>%n>9e-T0#zz1KnvjbSsJxGowZJMeY@K^u(uO41{J%S{_BdSkc&M2rtAVjD+au zi>+Z{#D|t8scVE1VN$U+2a%3@MgD1_y9u8w88s*7hvP+&JX)KQGi-4;-&#gtiiJ*# z!b_1s&N)5uzGKR;GnD5s9d(vzCD;vg(-_K9Mmd1JRD88sGNBa7zOG=CzA`QVEn3}@ zkNhACh5K5F`|7v~?}V)^@DX^98&+ zUqiN&*l3@35njyV8WnI1SH$}ruT>Rd?vo~P&l*wS30sMuTvHc`}OcoldH}ELY zzJZbD>nAL0<^`GXj*!uXN+V}#m(E}uJNYU1IFn1I_UPHm^jF~*+nFzy#fKAb_Iyg} z_0ZA&ycxY%fzO1yI5262WmsQOo>4Moe$2LCkeAd2zfP136dvQG-YzoAu4Cgd^37Z0 z!dUY_OajGnEU6!CQp4St>4@oG#shd*iwJ+v?1Kbud=WJ>AL-vMglE>mbBKpSpCy&g z25`X9iUcK@jD%D#l|g}HusmGeF*mm|Q@Ffj#IMw_cZ4L9Rr^@`g$F0QMolYKM|?_0 zQE4koD$+A@P-(-Fby+(Kxwlr8KJXIo(A3GaA!Yw?BSXC1{6uG7 z+G9PvXicWz1P=bG;uP|0m>A0;$Wr`-9;rZU$=Wr|&^KmB=Sk=7i31M`3Tj=(Z~}c1 zT{H-CJ$r01jz#WGFkLN~gjCsM-hMNkT3u|J&dXQGP~UT9qo?jJZ=DY8jX|+qKe6C$ zN-GNIu*Huq$#X*$l&qOhkVAuCYG{ZMnQ*B9aymzQngTgJUnQg^ zKbeiwmFElBQ_7&F0FEHeFd7C=PJuxS>AouQ^aeup@K87Lr-5O|_}%@y`RGAzcls(^ z5-lOPf+DGc6k$b+6n4XWc*hA3Jy(h`(E=>y`ILJ$(iz+nBuZZD6=%80)1jNC9mZB& zdHRO*1*V*9aalZD_e_e-*R*sUkmV+|L~inYh4p9$ou??RT-dkvZu#&~Yfliu%EN?` z&LRRY6`*m=b)~3tJ<|^2WHY?+B+H4t_);(Dzz;1St#m&y*S|99H=BHW@2hX!P||?cg5?$+%|{2qhvVQAxc=dJq?O6S{p|dlfBJ; zMzQ6>#M|wqt?hrcgjHfwUudDiX!xIt%#?JzEvvhww2;woXM5BoX?E3uiftLF-Ix)N z;c2}Z%qLuFBK;URcFC*F(N?~FB?ILwmYkZA^HVa2+53SGBhoVez{}`-x7@j6%G>#b zN0F+#x`QW#&fhu;rtKJFC0DQbi5O_g2es;5h=+U2o5nt$To(57(v@jT zvT~up!V6!4EFC(h*bLUkdA>PSd==D}qHZSWh>;3gsf&fpI#)vA;Szo#8UvwBm_{P& ziKGD4EVk$b=e21|rf0eG0cSU*f=cw^T$)CaS)#hm&xYt}ty*DUn0vy~OzFoO$scN0 zBvb=4I(b(fik_vI2whYy1lL0eT?2KH!er-A^=7DNpI}AdC`BVqf!pkqO{v%~dgau- z9Rc-mD-22j@!ZziO?R{V$&~S=7+n2@8joW?yC-3=`?%vi&DBP@k$g!De>(M)hjh6# zG(LW`R&yHV8tF1&Au8|PGdgK>S7xk4X;3lC@l6Vcp_}vY+tt&j6^b^o`!P_C`!<;+ zMhK6zD&EW#LH3D5khX8_lNg4oZKQo;$f*@C1as({drEu6jAG5@)0~xSK6h|=6lHEG zqtY5%uCUF+a|{;+#;%9&@pcyVxf!#pCqO+lu3KRB=mU_}p58f%0bJGQ#MB^pViVt0 zbf0J-7mCA8&o@u+pzD+WEFYG8(Z~vUmabT|s0mM0;^}lnaXg#J8?!e~ za$ifq-4!>i=fhIi?J@2Iu$iHeXeiVbAwid1Y*?btT+-?zoM$n{+5z|U8ZRRu4i6LMG~wP(6EhSaX9?-z`STW+ZRK;+yEWQfT%l9p=0uwAs!=eCPV$RE1x$1Zo zEzW!??X_xLQ+b~1$>s(~2I)vl_#xkM5Xi#VeWR zZ}1!_XaX)1)>~ARb(Kci&szLMs62BjI^EAYHlZ66$%s$BCS+oHkIue^Ph1WQcC~=z zoYghVSx|(DK^e%3s5|8na7&aa36&jM8>`Pi^@XLktud4IPxuy#+`+8#BCqu8x(|>* ze85qYK81;e(MwUEWNlHyp3W!Av+E`LWYPdL9%w=_!sHQ}!C+x~Y6~}`8#}@kXOaV@ zArrRTMd6L2kgFAdh}TyxqomOeTI8Jt9GN7IDGYQPlUT-OySEQfApsn@l*!Un05 zljB*w;B@xJ@iePaWWNq(CeYnig&kfYj66fZAe?f_Q;<5P^fX_Ng~16D38)}wOoUSw zWMxXYII?x}5_N`%OOL)A<6Fj{e-_2nmiPuQib17WH0|g0dcGgz`gD8vp21|YVhZo| zX3Gm({5#SLYPshMxcZ5jEY4$ir;On*O?q&2)Wu^oUqAUVapx!`bM52k@5X~ z2>ir%I;r-r`C-qVKeo8He@w6pPg+joN^+LT|kH1rFu@+YnM4Bk%}9>Mf-O5M<7wW^7? z&b?rL-D>5ROU?J(x4+KWeVP9_Ss-OKFuolp%6WP1`RY%D_TQSXh%twtb9@3&pe&wUBF-uNg-qpYM{i#QY5C*c4VlXC@5j+m6X#j8CXCeM z{5jHBai%M#?GO5HeNpmD>B?B2dDJ$JC*J(3m#1F1baLW?zMer-Ue(+*O5bs5{>DLM z+4;50@n*Z9s3bMyeY1KC{K2Ze)~g1iAcx%ecZMUfj#sx{x-OGejK8df-8xtL`hn8H z$f&##{t>aTWa%Iub;C{VqA-;DqC7S8OX>Tgq=uF)5LNqlgL zb;NJ#uHf8f)KJzB<*@hXc6OH*l*@FM3pSDO9-U(jpQs*tYyK{vH@rag*|S@gB%|8kl$81Yr}ta;<4h+Gb{Dp(bk>Nnx5~T zH>fc|>GMC;*XB++?%Z82RAQ6W=^w z{JABA|FW(6Rc}M9e>(3zvPAa5WxSc>H11$wY4z1+ZU4FDQ>k6X&MIb=;qm4~H6z*l zduMcK#Wk@0KLi==#TR-Gx@2b$=NCskHk0X|zAU^F^^_HzJI0I8TGJ*`T92FyzEoBZx{NT^Kw6_KI|zCcgQzC zzmawBZjkYuV#Bq5Pjmcl%V^Whb=Hd~0}jr;toivVi2r)L(;mOUxaP6w_fi33cKyl5 z`5Lpf<}$ZRlH|Uvl&0t2uC{ThDXiYnTCT+gpE<|(Tvb=)oqpeG$5jKQxTwTx=fo}P z@unA1Bb=8P_M_rnX?@(O)BSKiPZSm+lo~>ie=~@VT)`|;Re{i6EqoZ1)C=Jo#8q=TnC%=EsfLipl%e9UU*HEoWlu4&I#xK35wE(Yn1gayNUv zL3nCo&c##qQAm2^7YSU)^9#3sXaxDBhW1Ak1cq78-`~^;!pC}CkloPaSbBju1bqp9 z<5-o_5Fo4+>qUYL{^kvfZGNtLOB!eN+x+EZT(aM^q0(ebVv1n+cW8k_ref9klTz6+ zx%m2d^QhGut3MB3gzcX<{;XqIue}mc z*%W&_AF_q4y7X(d3s_kuzegT|L~rtCY^)wU?-kdbu!^{jC^-aiso|cQufJrd`l-cW zcB=5*C(W3Ls$~-mL%AQjDou%tt3mPSJOay3UluSr*;ryVa|qgw>)@1lXB;&CAYXah zNxVJx_ZOc**_2H)=)v&BGxyp?zhy_i1^?rcAu>xlL0u6~Cw~=2$3}YnF3bMtXng^v zv$$LFAn;LB^+(YU^@U|`aD7_pTBmRFL|8Z*BzIiQW(bk}!YExpnR*Q0KlO;Rd*|D) z_4`?{oxQ625WC*r#uHx``c zULU{i#t-}ok_D_cw{LuadG6#En2;6x+P7Qf^pbN!`ol z@3X%M(eW{5Yb{ld?kz(@gt^Kew+=y<9ap;|x?jd6M2b_@C#(48ISWW3559cfmVLjG zxD%(DpZo4KS@sZg(XXN7>!Oxtq2#8R!FRDS%DBTXX%*X7l0R3+Zx8>_I0qMC*jDw) zuj0DtoqKRxvOG!hoIunk*l8%v|~69K@kGBj3aU*nzE0A zL+m~EW(VJAgqE2zWkA9S$)voKWajD@-Jk7%ho{r;P6u-+~8q1tz zRCJ@mv8PCHI~LOwE^gk4_1hZhID0S_+-V)~?!YJ*LaYmJCL1~y*05=Hh5a7s6`b7`DBjnl zKdo9Q)^|!^Id^fad1S~u(k*SW6nDGMGp_$WF8;Ql0dDu*r~AIW=Vh+ml=OdCWWCjX zuMkS86a5$%AAZ?p*|{nEK654UzR!}%c+~+g>26IpUAO%uAKUrE5C7Xd;^Qfe%Yp;r z1sy{{$Z30=Qk>O(#{2#+WkHdOc6+>PculYI%Wg5s(f5GO)HaWQ^`f-{;e4!@nb{%) z_t@NTbmzTszG${pLe$5gA%?{dWxq1lo~Lxx+|e(!eCylxEiTyfaqJ>v@jfQ@(&qy| zx+yC?{2S&1t^Lx*x?8Ng?|&$g9k}k-XAtg+%k&ZBveJM<5Z~&weqN`(9x#YkR*6h(MZnd&9F3utGJEr zX0=$No&+jb^XBqZhl&v7;0%%=nM_uEDpIM0vG~xMkZE-Gj>(j;qRWR{WE?%6yFZzC zIHSEp|Ad?TF*COp7+Tk>rP_)#hSp9N3q3^(I<0kFX#@t9!DLDHJR=W{20KCKmAoPR zDYlEce7end*!w8dW@Y-Q)53EM#yBJvws?gq}(F(FLOb%wqozsVX*yQ63YuO*R!A*-+(C>nVnGB@wjiEE7( zJg0AGvh--dwUB!;XB8)Tj_Vfy|0X0K$B=X6oj$0rBdH5d4Xt5wJ9EQkbc6{v3}8>=2B)t8TsMFO6e<_qPh z$MX{9ArjN_Fr1!Aaw%}QN1N*VvR*us8^za~Sf7K1&;0I&lZzSyiy;n~d$}t5C>W6| zkS&}?|H{M21!QZ{Rl)vgihq6-iXg8j zd%g*$k!HA|)bu6RPN}~#KDTE3$Ip0=p*d{D_(50A$6vz^Wz=)LzlL_oPNgdGnkwJ2 zZ&{gqX#b;=Uw)YoRbgpK{fUadC?HzXlQzK7f_wYUi&RzA_}rwRY$bK>`LBR_* zR2_RCpWMm0YfPQ`xSB~h1U)EVxx8VysiMCZY%}5=eUt0uFU+`E{Mz_b@VmRUW~!gl z`|*i>ld;sr=GQ}JLEK{#TZbS;GrQG(`vaBean+ZlV;dniKlE8-tW1&*K{3&juOuS# zYqqsC(6_9~q4aR0$6F{ra()cYkf#5(c@3Y> z&ZA}Z@B?a6`BI6Iw3+g6+x4FxMmV(kyLkJ|&>!wl+a*kKL5{)o6RT6>Uw!<}$XBa= zv}>+=x;qzj4n4sA7#_W-uuOJ;#?$|)tdu97X}xo(w5!?Ksy2E9|BQ_{o#1Gd^swWr z+8}es`aOel7tcREK&I0L?5#}A#*MW^XQ5+8Qlay?C%3#VuT`LAob03@3#hD(3zD?h z(JTF=0Nl;fr8n7T+_L;OI<~nCt3IMkHPs%R7UQ}hHp}T(hoBEGufE$a?1i;klmRR#(is;1i>wSRwVd21|dUG3cify*^p$1Y!0 zHBq_IFZcl%VMSA3pLrJuH~O4q=!a?g_p!z1uM3< zJ+1ejon{eS-Ss%5_M`Vb^_$?eP0P1m)T!JbH3n9UKRhR0gb5sMoq67Ae1KTbUhLj% z7_A&u4=%=y2Q8c4za8t?dCtah{gRj8u99t5VTMo0{FwTMCzo>xY zRg7NBQ}Q3b&bwTl{aBsjf_I<2u{BRED&S%_oFm?R_yL*+?ES;Hsw8yiU6D?dp zQo(a}HkX@Z@V|03o_`oZ7O}v(agI5jb|4JXE22iJJYhDi+Lsx& z{Qie%DM_*3G&~nLkXbS>==^!`2wZJK9=3<9G&DcdZSH@$29b{@DfM%HNK1eBe5^~j zEbsOm4auz2ytU!@9Mtn=&bd%2xy^-6tUgu0AsZLNIoIHL2!=e_+)nc+Qs@^9{P365^=YlXZMg-;+t zsnm#ru3J4iym$JnGUHE$Z`!%DQ+}HomISRd`lp>`7~=F_iQd$oF;cXj?W4IpnPCTsd}7oknwv-yG$Od#SV5R{(TBtZe1mqb_>I4M zq}PuZ5R!9VC6DZz2`y9Z&P~;({>GnWDRWMry-k&w^`~kGf@OKpXO8uhiC?0%k}NZs zsJqbFAMk75d8B3SCv58bFB2E&u2p^nqxCM$2OjDEA+XqH#`YyD@2fy(U)^t0C5g%h6XKpf z)GiN~1uQyAPKL)}pDt`K4^Oo*d5$YiZDsI#yppuTzk4+DEMDWW*w|n|?M^JB?vhp3 z88go8p!EoI{-xX|YOtx;rQ+Y<=hf!-yIizBc{F?srSg*S=T`HTw#{~;2r*G{i-#b| zSKIvNZx2B|%Q~og{_0U39AD`La;<*EI_5bCKmM>CoVY{y!TM2rWzx0OwxaOZdKo$* z`GfNKdGpEr$9LbJFr)7O)-n*??#@2I3EskbiO2e-)B9}Y)4@{?8l=(+e94qGu(~tM)WI&<{=M!)64Tt-$qIf1YUFZr<7yE z64I%4BVrQo0^%n|qDZ()wKZL@L@SYzpDwy#IW(qdS&Onw+?Lj51$7@*m?3XpB@A63 z&T!1}}?Ihg9<@q%)aVA3xB2+Q(1zw3B;oxb} z7=dkTJ}aSjm;0`cWg3f~N%J+;%zETgr(7o!TY0SBqtdwSFt{GJwid0LB{fvdq3hM^ zy@-&-5PfyrMF|zEBqw7if?#r%p63`O%GzF^#VzY<^E?u*WSA?i*^8zM8S1B6N(_-b zuU^;BtkQwu(>&$xW*A^wrODH9QAOcd5{ovCukQ;~hrE~An))C$4CaYPD5v#Q_j=aD z*|<`)?N!r6qEhpWl6uBbBYsBOCDnRy32v>;{+6H=ZpqW2-iu)J@Eg5W%3WAuz)|0K1ImW+SH!RtEfSjpn`Fb+zHa4jCR`M~lN zFTLWD)O@-q>HWgEY=TjO0OZnh5kb1DZP@4>%w22#8>=iN2;Fd5*h zC6aEoyRIbgaqL--XJ3IAT>F8h)-GZ4NFjaXZ*P?i4n!ql(oG|R6nC#Nth0-{u_y4V zCWUD!gyy5%ZNc*reR=Jxq}ylU z$C;5X@mwHCL+NUY^gxUh)zE%Jn?;;}kZ7 z*&q)!4++p&Y(Te~x{;Wa46bAtTJkrW%cpJhVC~$Qgix+APJ6OHk$Yt@P!>|3XQ3ZM zL|C7y@Rk;Zv^%-06;RTQ`cW;yjC)+yM)g^%geQ3HXU$J8U`Dw%!p~HCx14$>>auiG zUZe6%x}MD^7TxH+X3ja!h6OwZH3qziwf?48h0b8T;!<&J=og;7Nup?7O&8A5q?28# zgZ|N~yV=9<(`sryI2$0P9|uf~@T)xL8)*Ob$XUhkmsW08YU^vkkDU(&?Qh}^LGP|# zzi}zor~cPZf8`&km#kMCx=-E3lQQkPG%U9kQ_gVlUOJx3&taKWCg4yrY!!6-(e=)a z$Lj|-G{R!7DZiN)*ZDdX?AN}ENb_eb7+9+g_#fk5vdNJ9KNOvJJXQZ6$0Z4&T$k)| zUDvh4B`fn@-D_VfD>CBRuB0WtWZml;_qw)>YmYLsDw280CL_v@LMSuzyTAX=ESx~RID0&EzPYkdr0-DqrO5v-y>Lk$ zpxE>Df^>PJ@E(~lhRGmHNxpgOR3=h_f)+EftS1u9?>+;IFDRLjWsi8dIblRV;$iOh zA#4mYSD^LYV+T} zGb{DbjL%eS7`PSc^{#Mb@fAf<$q~o_#f=#muN1{tHi8LG!3&k@-o;@?H!Vt<(KnWP z`61&HCo~B&CdxPlc1Ui#pr;d`Pe$D%)f$L$LuTGo&M~2B0Qk5*r@ld8j&0V3YtX(? z5Fa1WTZLV3PFm2`Ti-VeNFtr;Yi4W`x{6^o97!B(zwAC8IQQX{HCBpVatMv9`PcZZxanbTRdO#CW=+v^1gXa z@-in%!D)@cq9BrcQOO)ux^9mke3My%p>tLo65jAryP0x`f^yR9>NCB)tyMv2BZ$hZ z_p$AyJE8sK>|*hHh|~3MT9!FAz4VU`H*Rkx_~*3FPyY^Hbt218ZK9w)5wMx^_hmL~ zxM4+`%<9JJ@PK2Rkd=~MUBvQ7#!LyL&5-=>9C|jf*TadSyzMaoiyS@^C2@U`#uJm` z$4tBm_sj6P%!;K7{?=3tYW#{zo;Z}jPF}t?>Ay@-c2cjQJ7kNu`MP!a4D@<^gpPD_pSzLnm3?J0ha$@`6}98i9BgXk zE})pT>>1CLg&+n<0@AhCjLYJ@c=)^NdCB4x^!-I~WR!Rjt9+qOEfV6Z(O4S6WIMxw0qv7;=cz&KGA zdmzyZhe0;M8*yc69Q+IkN_sBP$a~W=`iKIsg_xvh%S8{s%0-k&uMoYWER~IQpCyu2 zoI}P%)OMU9f@Q;B4=okV;LhElSOO)W;_3^1xR=!_jyMbHPfEkY!1sEUFeT&1qc;$} z`^t+|*!E$q68nUJ*gq_d?o+p6lMO42&~6DwzqPZwFJoib!0DJG(g6zGPVC8p-FTYB z{n*pY{0p31K|;h8fTdrzMVGzV=rh3Y~%6Jbv@jEjX#$7*mrpANDCT@qOH zWO&(!6#FaUeI}f_IHVq)k8f-VXvmuuynY$TDJEr0Z$M0^ChsvV9&A7bsdSqp@@_DKwHHq<^tPnSvHZFzNmO(;x^mL zNT&ENE*xBj!uB8NAi^VKB0f+8voz`gVF$Uy*_yNEqa>NiD2SS}+7VHfd&v_YNCG(H zMqIug)wcm900ygc0BlA-WHW){fzv7CH;lb(Mokedyqk*j(t%`y5lU?hS`YxGL=oZ4 zinEHuk|iW1`9Ddt5+CP%Ru29?I1Wkr7?qJNV{;+pz8wfF5bHW?ST5p=8p)R7X(q?T zhrNi0j+J~WN&p`zaeLX0fJMAvL85*90toKWgsuuWDMQqJ6WR?i82g}Ml_H3%Mc|(H zdn6(UyKqVZcin7jQCx|d+{7y5rGZ&?k@UGixY?y4hzY@JBGw)Lsw8tP;z1nQz!p|p zUE@}_D8<0dYm5Z<`4$?rUe7VcSj5)af8RRxF2@sdZtHGMRuE!dCU1oyK1yZSY++-E zNWCRL<~i-uwyOHC#!U8L$suD)X1UvM1*1p7bFSZLV;V{2lkxArJRxCV!K)#$rEBr1 z#m|+dH@yQ>Z+-K4`>f%NJ?qGGLUWKYUgG#qv-ofrR`>VeUxA~4bg4HNxBMB*conDz zHRQyXlz15%7K3C#R=*uDQN=<yLXMd476$*ldtoCOejl7yw; z6ob{hF-3xX*{=~pwBn0-1{*JVe6yc(+t!b9FxUdG_d=34jm;DM5!w>j!|mmJ8QE*9hc6FOBv~0|d$>iYI=c(hif!3OEGV*Dv#Pep! zvgcTHT%v}6E`Y!-(9h6U3S_hwLnNSGHwF*E_=+fEOs>?UQ=cPeZbB4m1F~PnMe=BxyR2?Y0ZAOEfxp$STeEK3rY(em~acT<__!oG>~t_B*_Bd;d!x zdLb<)_2Mm7B5MtjLR+3f+j`a%S&oF87fBEqvapP6@>-WQn7kZ1ICU!G~9$@Z)D$ zzm7HsJ1Qi36)!6A$uX($dW(cu{s&D_W{YI*N>lxeQ0N9(NPb8q!HkWEpp74su-%dF z|G6CUOJK%$5mn=S87Kg;L>g0*j~C<&q})JSfPgWXIhR2ueBuR&1jR1Hi%1N@6JuLt zDnj&E@UFrjjrnu0&=h6v@x3gfdl)x{z6n*FPLrq#kN6R!yE&h46v7rA9VJzVakb#1 z4)Ej{&Pj;iBmwOD7JTZgUhG{&5?EX@OH?#Yjafylpw?qM3z*RqWv49v!7a)N$tP9I zYK|+$0j}wcbVZ9RP;u;p{A52ym^DCOkeLMg*p&=2th=p03#DK(v-I7uexy&EqsEz# zF0F-8OTgVI4%8*df*w6LG`Ji|DrfGB09&}|#m)g%+y}^15`)e(*!qg`CPs!+oZcWZ zuE>_@8pWCkxrwo2S_a{e0?3z_NEv|6+7e?tMYkZDmE?}Hxm9*!Ne0{zjgL^6Zj2eT z4*(P-zJMLay*M3l z&Ah6?NEcbV4ZL;`IZi99FDsecy4MR*L2p9~^RBy%SL?1&4VuR|4LTr3N#ew2`W$4M zViPcd8y$h-QzgnVxs;<-ZnbTaE^GI-=nRrSmTOte59W_f z`^&_B5^=lccd%oad(QVHbRK86-y5Fheh$CAC30H-Qlc{rq3SF>m&5n6NPzg$_y5tA z%iXrIY)HT8Ab};KT7}fEc%B&$3o;tIMu^yqzR^h*Bo67V*V}iQW81k*;w1CE?B@sn ziP`j#)npYxhz_zRQ0B33vL8gx!u7#w19GSj(W4@>^lpeb?toM@RUjNww6$QAyV?V( zVYQT${xyxoGxElg1PH6tdiZUTg^ol>b{}~&sy}#Q`(RQ`=Jm^GSvol*^?{1heF;y{oN_$2#Cjf;=p4_9Y1!58|%jg4}NyEZOskD|8J*ne$Eiu>#l(KBqf#zFyNg zWm8S77{YTS0wK*3-eY1Iw24;8SWM4bDO+3pTRu6XK;4qAG6 zW%&W2T8_uo9~$9yHnRP`_{&lN_bgF-Po|Rfg<9tP?$$I5P63|RO0>8l+c%`f`cqrA zA-+J8t?qNt4ZPy-%UPjM&tvRm3$*B!7BJ)5vYZN&hAH8mXW{JHmhki+ZX~&^=P%e^ zEB&}-W7h`W5aksYxT~R^@qVqQ@gJRow|0t##$|V`XLKv%A6?w+%=W|5anq2q+&nWu z;@Jb`6K%J8tIFEpvE1<1DUHlCO81E+3n1lV~7l~ z(hGJ`#04ST?sbphY~Tw#OBX1>A`Q{nIDeX|JxhHQX$7|{&S^2;`9{>qFx12N2uU_b zD`mUI^*wpw#d{GVUSg{Os+@?qyThn|p$EiR9bc zsxqvUj5ow9iyP*Px?lzT7*{M>XRGf5Q$<{PG>w_uDYB1b=4kMW_Cj$ndP*|5%Gm62 zKrva-_qw>Tu7I~Rv0NBkuMP4Ovs3_!o*l#QKpbs zL#Q22Vj+snx<6$s8uktatiqT!1RLqH;F6^%(Bma!wa+zEp^Q@?3~& zvyK!C6{l4B!%b3Dy%BaCd|(KZYn@6m(F6xl|9p|i%uLS-F^hDN1xT!`GbQ$ki=br{ zY)SFVKsr*9MW2xyw@FvJDC0-<_Z@SQL|K5I1&0-~>Kcs0-Cj(M{bNL?DXR>M9f{gz zoZ-0NvBPqw+Fl9Im@#`}8+*UxK2zP=20PvNb-+hx??kC6O%bFHdLSi;lOYf?fo}og z7m7IIMCp8WU5wOb{J9gZWkS&ZeZD%;l)z|vZT$n94`W_0E@r2^F}FMM{xAN=tp`g@ zCTU^WuR6JcsKvvV2Ez{2`o+#NKy zDuj?&Pl-wi*mB(647$2FT8!?VbkDI*t9PvoI^P)5Jc0iHQ~u!FCid-i!hqsiMCGPw z=bf`~=P5|YU=PSWn%h2K~ zN#H?Pv`o@O?0^qzQY2^~Qb1jS;>sI zOZ9rJ9$W$PBKrsS5Iv5UqJ%HKChSQIrgGbijS`sva#0J4g*_k9#&_`uBbAsD+Tr0n z(G2M~b})XkgG%wJT^OuK!{Wxo0@ZjcK4(WEqCA`!Dl8xvHw#g3OIbyk1}lB>1jUH9 z3SiWX1EL{ST;W9=*ed}>`)nNWue5*z;DlJ$s4(tW$|!K+u6Z=-QMC9J;Jz|^21}z% zjUVV8W1G=EGPC?5erTxO7FxGi4B#HO9t1!@6F}}&Sp7)3XbKvF3}KF#2jlUO{z4mNkEA77@9XI2@(NkjNsG-TI#_Q;5JsaWkkr$ zYtuIs-{^4)eKuiFM8%Z`xON6EPAaG`sdB!1t zA0IE`n{wO0Pm~3UC$R`E3B>U%gUr`SRcTB*32?u}F6y<95qQy&D)$5hwK(H6!58ke zW$Cx+1#zPJkW3G^IjLw4^NHBzOhkHfS_Hjn-OFpzvgl%ZWE88tN(2MiADl^9!Og04 zhy!O71X)BAK`v57)8?1(7vs570nRD7l5th?nqG>P!9tLfg2?_+t;)5yB7#PP_=h4R z*Ay;&q_@Z@y#MZ?r8sB~by<&gbj=@t$3TH%yv2z{-ARw8V`ATq^i3D^LlkfgM(wH5 zKyK^nJW{-@g#Wy*bE1|vrEYi}EeJ+REFHDT&phNWu9e|+`e|5k^;_bzr-y3tJ7=Fu zPTn9oZW-VIm^MFX`1SCo{8z6NWk*4WUC#35?t)1(xnVg~MYSgh&_>FfWt+yN^Yz>E zVZyV*+v;g1-+nzzD5E8}ex^4+uI23%(x_|b#O~eaZ;zv5fvi7xOH65gja9*h6HhO# zTgzx(%Y3y1M`wQFK0w_-%fSPbU;(op2Fs7AFCt2+8pIISXf{yy9`|>1NODRNN`)Nq z7@Z$z+Fc1!rY!bCmvD(N7&VO$D+ImCCEQY>$&>}}c(gG71hHrlr$%!$(kXT^Af-2F z7}ETS)LX`#%kh z8=}@ZF{rhMcYbhpMK9d7cA1$KtrSrhA!ktP{m0zx#Wqt}w}_|3RQcmI37B5W0oTBX zVU@Q$#hxjuf%iVfWt8|ZF|cWmNMH!|u$+uS`n_T+1IjfV#h^s#?V=pGtW|jyZL|7# z<01@W87Jt%!`5oh*5&?@ue$-Rs8eNLFa&y6=ixIqzpRM8F)ZHzff?IXna6XdGmdN; z#x0AP7|Jw!$|_KM%JMEtCH9zu@x2LQv zfdG*B($3^!9AH||l9Q-myp-Uk{v~iG3p0w0v~Yh)Nl|2I7s3O2Bl*MzchxGIKgDkvPf$xGP$g&HQ2kkTT!`W=kznqueC3@h+*Gi%mqgxu0LRrUs7Va^-{L zXd-3t0u>HM9HeKYF%1WBbIqSdT>`@G_))dpmsoW!K$s&~IT@KU%_(Oc3PtcS&ii)U z$T1cHTY6;KJt&z48KatsS)t3cGWXGdp#aQ$_9Zw(-8IE$5jB(?Bz_?w4F(%PL0OPv zikw7X5t`1{Y8s3j0|pysu!-a&5-1jO9a#>K*@_x9V52Z~M&@giU`x^35>}#>o|H^h zlG6j`&Kj_B@|}A{13ht3S4>zX#MD$Q1@6EmwK@TvP5d=~eHq)0ID&?(0wA z*T#Pl91D2on$>dqmw_|n=^L$xqVp}cz$e)E@1A5!^xQclh4+1LA3o>%N7sFrp0ep$ zr)6#Z^hCRFM(%RD#8?QyMq{)4V(nW1m*0Y=yX<(ig)~9<V2{5(4nf>|L_L>X4V3(M8$AZLf(Z< zln;U=PoFMYbk26;6c$hNlG&wtn ze0<)H^Oi_@HPEP-vc=Q1ePl9r&M2!?H*vot{m(FG+5VhrORgjKa9*`~KP;AWe^qKx#htxX)CB!=VD@XRxy$W& zpPXj2oF@XlSQmSLE3Q7tHVsKyedKs-vb!zL95$IQ9gvVU)1voxdo^&_W#=#^42;3b zpwkK=P%PJ4CwOchHJlYNS+o4Zxninm?>yZ|(Ww12?n(8YcBT_}_fA(RXH%?$EU^jQ zOMGrL+Oc=uceb6ndvnRS77^B+?#_Ky_;>cDNylre!nd(+eupY) z{nf;lV29KHsHpeN`#X1sPn1?C9T%Q7w6BG0?}f%6MUOxC4)Za3^w*%&C;WKsOk33D zm~NL5A+J$Aq`itBF3W1)`SP_w{&S16n!|Ve!Qgg#KKgUVUMXYSSklhh%D;d&Do3xc zes4X!)w#~qQ z*taoF`)KEKdF$siO^oI7)cCn`Xn3rcy`OU`b1Cny&;E`lCCiY!)gO=V1}r3XX?iW6 zm0G5vq#wUYE_pj16zJXdbuFMWe81|M((0LbF6F)8d9m9-*gv|C_T%t^)BcTb7urO+ ztCA-?qgEQZAK7rCek;k|f zHJ(|u);)9Z#tvL!zjLd0JAKAb^LYZSojFXzYw3Gzg}w&qq(H4>>fBn!CvU=2W8Y-? zIAr+caF}*Adcg8b?D%-1HLvTg069nerS?vb>KbhyMn4Pld@-rz85&R&6^_|RRXbnF zP4j#6(ECQvx!d2mCzGn$6hjZizUubNzXwWAS0A@~zt~`ZK%O_*P=e4%S@zkIwyiwk zl39HCwTJj?9h3=IaSDCq0M?&9X|HKPvwB9+roep!EpZeY# z_IY@1IO-g5dV6x<@1TdT5BR2L<{MIc^v=Q}530KwQ{hB7i#x?1y_F6eoDxkEf}i$J zde=Rl`2Q|4Ic$*9#AN5@*KNir!O^j&+;`t1%mI}+9AJkj=I>6OasD=OLOSj(87gB@vV z*x5hx32!_%-B5d(ea>0D z6X(>NEbNEn#bk`aVx&B9*@Ozco}P@6mEc&ljK;wb(%9Yp9=|OO=A=t4qB44qp8D3& z=CT)hnxzyd#N#k%f4j%vFv3r+ z_=(jG=Ve;x21}Zc)q&4g2uVgNGBO!GgKi=#-}r_xpfBQSm=zl}BwAPTlMt|kpYR0H z!914pmMiAoXpS4z+O6L=vQQM7teS+C0Vv&ZsjH0!xNkY$pBq93>WdpvzdGUyearjI zHa&_xl6CHXoVDP|cvI%9@JYoE+Y@C`x8x~z#lUNsjT3AQOhycRRKYVrUv;_xLRc)s zH6(SiUz#VwBt-3${Kpu3@B~YdZ1F5ZhBChYCJge~7~I+PUHM#nxwswe$V78-IcDRs zzDzhW9ZSiuQ$QdXdG#R1B~eQ}ucF;4Im}oN4k$T7gI^G)21s6sb*FaICzq(|7-PDD z>P#=5a5T`oG5X;*%0Mw)AC5J|waj~Dz7!QfJ|ctBq}pw+iw5`{TrwE;=?^IxZG!6~ z>j{d~BLF@(kPZf;KD>FURaSUpK!pDVIsUjNHof>l^PdoEq2VR^)R45^gw!|J*6^}U z3m8x{z{Tt%yu{pB*5krR*-hp{Ij|{s21S3!)+J^`^-b)CMze|9>X+&X*k*%^`YCQ; z=ClMLx1`Z@HmIz2R6&wEEeO$lkwum{ndYvj43I!DE0!h4ZJIO2Nr{5N+|VjutSejx zcH^Gme?jgubR%CFEL`zDAN3fZMF=()_Kz~K(gjOW6`dA@pZ^jj++Fu=-bWUgQH8kfB&M)j4BC%`!d460>R6z zQt6oqhNh0#u&r4k&9_6&yT`7Fiw9GpExeN4iK(9!<(B{%WdNF6)z?o}MrfYCoJatL zjG}UeQuZ1*(Rsy>AeVZ?U-0)xiq781*#b-!KB$|;ike0XU8n83FR3s~Uq1#rFGBWA zZC0;G63_aum|zbB#xm)L709Z@9!s#p>WlY9R}wHom~jF8<3WRmWW!A(eazYf>6kNP zv9Hm*P$``CORAspbg?{EEeV_8g;kjB8FxyGJ=S6aRXxFmJMV{e7)<@Xz4$sh*g5V= z!{dqF#Ys~`mLM-v^|%2=ng+gAUIBIc%Rf5ud776C)@lDN+(dZrY7TNhclmWn#rXD< z`mk>G&|>Innwsw2Ei?XkYsaR+ym3~|aHsY^YEK`jm^B_dvtcZ|HzjWn);BxV&d%6G zj+C+m^m-`n*>VcYds{SD!o$cuo(xUt^JT*I3V}?t+ZGSD>ph-){rufOx>wQB*(oJK zR_~;z%a(LhN!>E2r((RXO+KwMxawy32s5g2tRONoYoEE=Xb55C-6i{z3;316$DRu4 zJl9<3AJK1k8dS{wn@V!6+Few-y9ly!b9}vK7hFq|(`+Aa%~m(9do?F?#`ZmZd{TMF zArE+X%0?!ls_%XB0edEyrn|0QR93Pz#^QZ=2lC=PNJ=~B1x88I5E+^lJ!LK>X=Z%k zhn-ToHirpqo4qoM-p#(4Vsz-X23{5K^qE`z5uR|K&iiHm9MM4PT};d^=IJmRBCGsv zlb0?ZIIU}#lvBN}a~v@DT|3#&C+zx&M1cGLHJe`>c6aYII0vV@QBJ>HHKc8gGnq~D zZC$k>W$I_km&><>nEaj+B@CmPcemC3x($${N>I0LOul99N{R|{NKIyJ@QGzDPc%!1 zNYCN*i&N*KKSD5XEK9`&wQR;7evEqm{Hp7VTb@fEH|6qPMcG@VrK_79IL{BHA3stW z=oFTZ2oq{W-Yzgjm#+D|VH=qIJZo|?7XBmnFmF(x_bBW~!9Tj#0wyW*$scYKzhp<7 zP9+7Ed3GNBDcy}Z_Dq#tnBvGmw`#D6iz1Sf)%dT>L7?ygD;2}I1R!C8wP!wxHY#x> zEwGW-EDOCpl=N9Zxy#vcbM_uy%MT?ZL!>0($vp##`W8~w$!T~@LVg19B}cPY zvi1#scN7=5w}82bu`dwZ0e3I~Q9}>Varka`%-s>C;X?hs96Xrue{{80lDw~OulzjO zma(c^>rQCve?Z_rO(FTd(-QqKc|2YD?NfBPVDIm#wX3wO>!`3hW#h3EoBU>=ZMaXl znTlPHko)dCCwI5i9e+%NA8|8mOpBe>SK6S{?Tdlm1~~_KA{2rsqYQW zNKamm?q2U6N-wU~b}s5|akQ#mHZ?jIu1wh#|IIN9FxkHaI{l4M-U`k6O+)!RCG?rJ zwaYvQQh&F&5?u4%#-{}N%)dDnF3#JT+8C=o*fKde<4HdO$AA0rJiK;m;qRB@$o0y0 ztI<}6tlx#+TEDiaOWK*aQ7Z*PGjb*kPO zTYt^7ENDu)t$r0#h+9cVk~9zA;eRB*W>{U2Rk z);Y}EDct<~Dzc!S$(N2FNcgeQZCQ|U*cyVWF857XIRdO!6f(L#2V$SamaQ``RkbWvw|@2 zQ}?{BTN;}DTdVGbDOxpVb}SfOw$qs9quZ~)7naX)MVPglrF>kN)J%5$5M_#W3OP)Fa%VX%oryH#lvp z-G1v|4vp^%^6N-PoLKP*H^=7X_d^ai{=w`f8NWMrNk^C znYQ2=hEMO^6$q7SZZ3mlF2CMo>~paqY1UYI~2H>SIs;KX#6Z=(p+r1RHE9I|P5qgum z=)LguV_3L`R#+QLaBf1p;Ymn#--Nd!)&2Y=$PDQ6kpNi*t`d9Z0Ho5dN89ab=R}!X zSBsa)LTbH;7s2)fr|Hgi-_%u{?k%~$OJnrz8!b^KZiAf}} z$cq8@Kr)C~4EanK)MYAS9?wkgR$wl!;LGP$5ceMk>Ke@+(^A5Vm>l*i0Dg(<`QuNF_aTY=39mXeK5Gn6X>usA~|{TiNxMp)9S~xoa@Q;*#hL ze;Kh~CzI?@_f^SU7WiqI{bb&cm7UK~ zde6jPT-}mRYkHz+N#=K)pk!6wF`C%V>m2V=8eW{ck<)Zbs}PMdAnh%-e@fK2dz_v3 zDxlgeJu#f-cfMuXyj--~8<|Q@UjGptc^}QpT5bb@M1zKjdcnRc8^61Gw`wN4%iai` zfBi>So$to2ofi%W>^Rlm7(1ct4s)wa$)O+D^{YuZ4LzeBhpeuD8y|hn77YBRRm%PN zwnLJ`?nM>j$1DHn+&a^R{Qg=bnrPZ6r%C^#Yk8N_MLG6+Td^9_WfA_H_M$gtzCAt6 z`HE3e`0?|p0O$6Wo%W=EbQkJ;a%CTx<^<21naJ++rx5B94|ojCzXyfAKALOq_MAVs zzIvzW=kVS?x`NMxd#j->wAlD-P34wRSiF5<>5nXrsT`TSPXe44a5^fu96 z))yG!o)h9Sl!dzWZ84{uIyIJpKdqJB9XKCu3RSljEfbQ9cV_N31XvJKySq!W4y}77 zh?l8TXveJbQWM%?&rVzypaVHWr!B2xD>=`=aP;jK^S(nYl|VpT0?QdojW< z)XnV_KXJgJC0Z|Ou?bpw$P|O@A+KT*c%#MZCv60&-chf6 z%XiZ?-Y1@RJLUZTc@}PkT|B>|HLvD;Z6&WZoA|`zjEP`+(ue2kmjsDk)D~?DL=Fu^ zyaH6-Yh>IlXO_-ey?dCNY1PE-rGDYq{8G3=V zyKRuh(e&3rp%uQx{IF@)CHElj$>-EyWqPs+Gjj^h&Q?4K^l8@bh<5$c!Y7aqBTb+O zO1yh&pny1XaFBQ#{J_f*@R0w>B6rCve^c4rLG|!O^=PG3ZH~5bfiS_W)UVH-@_={v zA32sjXdC^)9R8*C`~QohtmW0U(Gxp?OVau0ox-gAU z`2xR^(iBVB`;vOUfcAarN*yb1GX7@lAgq^L1uEQQUc2oFjdKsm3=$lv45pWvEX; zxmTx^b3!bL(0p!(Oi-pvI)_ZLb8Q4xMx!!_RSh{i=teIjjWVQ4f$Qu6e6H~V6kXH{ zh?wu7p}xDFq0Zb6(hALFSA(xbmR%HL`wtc8rl-KEk5s{PL)7SXI2%A-Ko0JFw3Nt< z0x`w<-e0;oo#9K|?C^YFyGege8;;8$^bMS>l5I*0u{i_upDPRuG)zWDPys{?8HG%X z$Dz1Oq#$L_n1UF_a2Qa}bW`>PNp2%iR~|503Qnzwmm`$eesx3DiYY6;>xX!cL8+_U zlAI6t8jXmSig<|M=0tp*N;GNGoVVVA_RmH7_X4k_qhz( z|I^}xYQmPXgI*%r}AeTy2(ky69R65crE@`* z-mJMo50RJe{~EvjA8xDZtN(x6xpFDcbI4!K=M**CAnj&ECAbl-?rR=Tc>47=xFm$D zhrS#i|AXyjTE?RZVmt_OlmQ3LGvp6S3b~X=n78CM6Z%v3*17H#Sh2~(NY3o094zH| zI(K233D#7BZtuUsZHP>EN{XVH?t zQEm&jI97c61yL}!jyWCzx1u=*BP&D=yDZ_LD>&G#{1H7UP)`M>T1L1ns$w1K>3W%C zlwx(UAQH^3k1Pc+#{=Pb90>C)W@IVSShAq|q6-9?UN$byHW{s}xEYnKO1^>@eZ)nu zkLf|Mi>`!5AcL6dipB8!_s~M4Wu#>cy!54_YJdQ!iEo2NImv}^9}Ogqli7{tkBm&!av4li=S#{95fmI9jtkK zh^J|pg+Zq`A5?D|Pd+>=$gLjr?R4&KUz^!)&w7pfdt2v+?Z(*nfKmyqT4z_g&z=5! zgg|oo6Y9CM_ye7e98A!?-9NQ9FZ-?SJF8_pA^aoh8Q9;`nRrtdit40j%9D|}%Oe`RWaht@v0`t!(6wNSS*p?f#n z)pb5xOAFv;doH@qeAr9$o)AQdzZGIe_&w}Lrc$4bWM>Izvrrtj&r8e1o+b_Ux&`RaRTqPum62@l0E*U?@h`)2ekTuYTu9V z_r22d0;Ya#w)K{s>K-{1{K)d!c#~l@el|ybHy4mpDeyDcpX9yqS}=Etq}Oq!9yTne zJNhTLa#1Gn#*@}`WRM@ESTig5OA3Cqe~KCV<~%|0;?BTw+KY;wt7h54*-*82?A9dZ zzoXc&TCIq(aY)76zXxZ&M|Tpn?!d;Wvd(5HqdsbBo1wZZP4Wr|i+FOgPvhrLCMne? z!7~8?tyM2$>IA5xVQvp^$@!Qp3Cc9!^c<9%-f}T7LWRitB(ArsP6AFrGzS%?#x z?`DC{A2A-C-EJFea8qlvtXF=?hC|w@!ar{$*jNCgIz(OxxO-g+ogv8soAc9a9aMLY z>%^p_p{#ctMV=~Rbv3N zi0c(W54Sc>&hvuEsDaD02d6c;_%Pw2YTSDx>7&ei!og;Y30R>u{9VIdcDdHp_Ugf3 z;n7TRYOG@XYUF7fD}^gTF7` zA+3m$KGhjVC62Z9CQlOyo``b3s4qfG7|@`NYWMY~V`gNI(yz@={hu3pxb$m0M)ly- zBri@~gcZe866UDLsbU)XR+;987B?o&-uc*ef@$4S4m#L1g}Kn&7nEKL@|*PMBc{M2 zr6wr8QBnO-#!4%GavYqlQSX^;HdlnZ{?VDe?VSF*TirSP`oMiNFQl;5nMC?W$Ekjh zD0OPB47hv6=*^pdbPaC7)&J-s(yG5q<$eD(@bVnCv)$IQhZ=RddL{UAzno$kYo=FG z^FW>)d;_~LDH&EeCo-H7+HgA{_C(XWvUKCe+pwjusZ+C(`y2-La!b*D)vG<1o344ErcqoXrSG-P#X=u7AC>m0l<;cG7mzic zWED--pHckt*2kSa-Y4PyzFah%0H7b)lAVDsH&yYnT9Q;kZMV@c#ejiJ`9so0NM_nh;I zIU!CKjWG}NXjI2shYb*GrPXUHDDFMl>UXf{H6zu=Cn37Jh}Il%W9lxzHcemUH{;7{7V1^MBV+VK;^+(`dD!wd8k+( zNnEpB!nhg-1t_EPnu*zp2(QD8bh#eoPw_(Iv`Oklb^tLL1h~1JU zuU@3?zgw}u!KU!~cD?h&6;`O0BX%jErtE_Ur_UM7+yT$CMB0^Z6ke3?KlU0TZ$Fo% zW--ByPaj{6;WBw;o6Sv`s&zZ@x$>PwQT4s(dkcfO&&Z=5M8A7e`_1Oo&05Ou}cE1)F^Heop0?7XS zR=U~P6CERE9WSnY4T^*q$5e5Z6v`ApmTHRh^1T#}Xxo_E|God69_OU_NF>is9`)MFfD3WeeuM|;$@e;tub7i+x`wW3#%)Ka@OK@zJHFhX zgRDM^E601O4t)N|PWpftf5r8Q-1qUA&;v~)Zg__N8`{4|?5T#%PvcwrRUDj&1Lu1g zxpwQ2EkEuw*qkaxkyV5^u3x)w>Y~7mJe4?tuG@_ln)Ywblw9j?<+|ukgI70*!jdz; zKCVik>lx}1^=mnC89T+Ig*a5Q{Ih&a<=R3h8>$ONIcE&=Na4iou^vn5^|Z4{af ze0ko5(Ublq@G;C@jEmT*vQ$hjo7ZQ-L8{Gy|J_ob-g}p7tkv9cddVyuik-vK;PLNO zX=`UOK@LSaUv*M4k@(n30><>;Et{ykBsCdwYNC#i@tjI~(nnvnyzB9-bD2RV)`Woi zB{Ys_?weFJmbhUPKaFCT9wl2ye{akqFV=Ag%Q@5{V3xg1S%MvRS|VY7L*edAHfbxP z*U^NQf8|&zQ~iVudPFJSj`5E@d4msHdz;l; ze|j`Hr9{gZ(YN~DY?Qwv=x z&JCNcPM^hJCg@%=7>2BgOTm^)K$M@f0I$0;sRh^y->H~UVGW=uRli8alnqpB8udCW z%$jpD2Wf7gHms8J>a`ucKG+Usq+6wR{~LJm4xy<$Pqxed5_7W#8W7sXe)~A9s$sqD?Qu zI(H31if;If;JQ2IFWWwTDM;}-+O!?T**XA4-OA@K&coE)cUFHElr#wf8p8C%_ zGt|(x2=zpzHBnM41Iyl@c6B|`lq}ec!9K|b5_EWgoF+f z()b$4L3{Tckg@7^cCVMx=X|(_ZoZpHCU=%+EwdVisI7nVY<2)mir{;|$*KBwWU|qB zW7Xq#t58NukJ19tz|T!L)L73@J3TLA0_V3EB-FF*8@!q*0G*Q+91Fg(X>6E-1{1j2 z=6BEJ3B@+<#l>=&o5^o1I9cPzanxI`0XJ7f+tK*_L#+Qq2gNYd*%fF4b^Ml(YC^HC zT(B;0hDo2l&xPzWeG*}OUA8t(|Km$mqw{2HAA`l$lpTJ9&mQTCY6TX9>dhNxwVnJs zYT3y>hw6Zn`4~Xy@8RX9tCrv^wvMqs1lb>X1(O3u1;sz+&no=HypmCJ5$~Lr#sm3U z;tVF$^?#Y6qi#yJrRz{i3%SoRY&F68CQlsHZq;K6wDo zP^NZgpmnEC0aQ{Nt>$nuT5=*EEmRZ|9kCiIzz2e4cRqao?D9hNmFueZ(V!+o6i>nK zmb>bh2#W-z+t;{1=ORKVOb_E3djYOfnm=ymyB2c=TI8MhZlm}DM{yMj6&>C9=i@W3o~Kwo6qGRrGHny^OhpWZF}l7 zy$dTD;ft6oWKfr0B~;{EC(DH-mzxDg$U*Hdq5r)h+}7uc2&}Tk5mCN~<+PXFyiNBS zXDEWT2&0SpvvtXN#1~g!TVDf!mh3+bv*5=>p>YeNdCJ^>)D~`7C(5@Xl8=6m^G2I~ zNKiB6^-^6%IKuP9b=Dt|^ifFi*VU$E@`-Y;af$p#laSZ{sb-d!ikL48U^G?ZFxXMg zRQXs!EC4?U`g;Ee^5*Zp;g>JavV8tM(nh*BkUKWSK~OpH{tx*%DV0pa8v zPsP6UWG})dJW75rGJjl+V@Ohe`C=M3-NCu#r>#<90sYl3>68p#w(Dz(Th1)pgK`s| zUe|;mZ7YXS_Z0N?h7-iHCO7(!`YftvR-Lti4b0hX@3KAlZRQERPVd|i>@ij{$18%n zm4ECibj;9pWC%fjB-{KU5+dGl zb?PEFXd#96T=@wQ!MC+;%6#SRn8V}>+A^8Tg`ro=H%+?DuvD!KH$P&IQtIqqug)Az zmJUOkkGYFmxFr8|N-9j3bILW$MCg_7>Ti|rjBX`&cC=*~e;(f$ePZY%MEb&Kh*>7;!@`2Jl+3Q#xz1khTkR);4R$ts?Tux`yKpRu zt(ezP0Fxnb0?tum40Y;yupsU@?JEJ(IimxM;oa7C4Azu0EbnZtd3QH;eL4!@b@E9Z zPBh4sQTi3rQ~E!|d1nOMXSX2}aTx%W`|Rc>c{s|dy|I;mnmoS>&%T&TXH~QtI*K7D zwze;I`#i9YeQoKxGth=Bp}sk4{n+cgz}dA;78$kTI=Xb?;)=6KV_R`Mku^@TzP0@= zOv>PVo0N`@47gcD;JR%6DgFP)Pm+Rq#GL=yhpqRh5R&D`%S3%F6t2AHuMq!a@pH zNB;J!PH%}Lrr$Y{hEw0wFw0Mb{cufe-J9g79G9FiSv%dq@cNJ_3c-*+bAoW8SSVRNw1OOvv;zUp9-eI87B}A_#Py4 z0D9c|U8$(G;MS@&gD>%|U$(4LN=aW}0RtIpGn}CRVmMyGHMC096H|Yz4iQ7?TLWYt z@`RG{$lmJ##*3>P6L}tEKHe<*^cWNN*_XsIxk@5YxS^}AHWn}j=w)ZuwveSZXTE>m#ZD-NKgc!IK$M;5(jF@syuZ zBh{>a-K)`nliCAP*yT)mD97)q#~QImLq&T9EDA+{WSS!n>Z8b$Pp(d6`^#D&b!zs@ z%qdstQNi|O@}K;^2dB%YR0sVYx9{e+UdST65Vx~e8-Nc56jV(D+Rdl7J8niYVS1Jq zcZY>%FVmSnEsDP04*k3kv@D!Vuqr>5t+k;)xxz8x@rl9|lqo(LOX%2lI*?=tCJiojEStm1$xtu4B-@Z&jL(k%&|-TnQST_;L#?OIDPjB?_DMS(LM6F! zH~|tR^$4O#2$IgDp*RmnEiw9C&dK*=Y<7ZMG=hgEuVc&A{bv{RfUQ6Cf;@TDD zcABF$(N)b5$~{zr=lLJ!$MEreRa+r_tpcponAA(#29%`-%M~f_t@Mx#_di==+68 zBo{F3V?HEpk4d!~18b1ug{xArFv1D{(cIRh5(mg}Q>Uap{iOLRt9-?W`e%OMD|Sy3 zD=No%bz1TJd9-AA;sbQlUtz4dMWm_U`&5uRe4LC=WX^cFy4?Lt>G-kQz z{p`$KDEwAh2D$KU(@z^1)Zc)vbl7pu(U*WVw8V+Twx$%N^JgQl$A|ZnRq-l1j+^PR zu|GMSyApxO*N(dEB@ZbC5U#|wfol9`nDSf10~HTnRiij3>3G$T_hLVZf*w54%;E}< zdG$75`TH{!RXC3Ewjt*tH@ha}DM|iEagc$-qGtc6pC1kXQ+DN~oaY+p&8J|VWw!Pr>-VSpX<<~x{XG}S zxde~;*}ZI~=OvBK84VOJ5~?d1m;xJy&!z4>SU<;Mid+-cRd?Y$jpQc`ReQa~k(j|d z5lq@Oxj0420#WC;BHB0qWuJ*fNFxt#Z!;))ZjPmCJemX>7`FMRYnJZcNZV)0@f5u- zdFfLoqJ3njiL=jsP|?A)N$+&}azxZOU;>pzf7DK=)J`aN!^H>)@v-Zfb|MwvL8kaS;h+LoCNul? z6Yf>qPuKR@c-4~H@9a%r3(%Wd5(+frJqNey^jqpr!<+dE`F{76YJ9a+9pg5w5^H2K zXhQPT_OED2Wcuc3u89FZIg&Rr(8H(Wzz}bP1#K^nC-b|fg?&p)tV>71Qzq9^6STXd ztZYL1`*ia+5b-GeQIu-vwM6B7Wv7LotnSV8Av(aHIe(Y6i1#5G+wyY7?@dnT{9o;A zr8f0c(Ftm9xDW@8v0o1Z@`+b-w6>va(8g~qlj`$Jwuk3K$DtFl>N|SS`t2)?dvt+9 z{67$@{Ha^PiW`*^J)K(itvLSc(z$LluN~D^Yf|Af(Tv^SO~l<#<9>GbFL|a~jjiP_ zuYx5TH<%xO&=Kxihlh29U-oLYI2`=7?D22WPy&YYHCU{oG^!kgYr*9`;f-KK-anWg#KDr);(P@O}c1im$HwyRH4?>#sPQLcr$`Wx==-N zE}3fF*Y5I7$ZgP-!)qyNEs?uL-fZHwp@}xazB6p(2fIHvr;KlqcdK3Soim%~N}-&G z^3O8Iw~)bv(HMK|neW<7zvdr?_Iw{P=VM0+=L|%hcozrtf3MRw^!Y@&MtG-wz%zMK zccdD=Hy-&Pd=k1X(n1*%p4k&d?@qPA7kDysymQ6a4c93nY{MEZ{F3{tii}Wpe!Au+ zXlNVyMqqmpQHNC27L%wx1PIR5l}K^50lf#YnX;WaeT7OeZ&YfdnWPFlnOa6p^oTkU z23yp6n-xW+&QE&)>omzmaNPaDF@(=@MO;M-Ffpby!lPaSBvn7DT7T#_8^ZvzMUFgG zG(GRWO)VUFRfGCc(w{n%z~1eOdh>A}2qufE40TTNRc$n}Gz77C>(bHEKZrHKJiHa~ zIrbw!p{p=U{2dM5JxTa8poka^M`yC?dDCHeaexiK5Y~{{D;V!!Z)Q`;A1+cARQM}0 z?M#NCq$D?yD-<&+`a9A7R}ZKxqP#-X(9>TxdtXsLVj5G`n(W(uZr{n4U6nFr^V~Z< z(Nac&MY2@7MfjlE**6L3wVuD|I1d3tE(c?Y%~1QvNxX1Od@5{{o4p9~y651biB1R~ ziEwUG?r zIZfa&XKQbrapZiG;}rJLmwWU^?^G#QNyy6PJi>jl0N88nH?1LFz{7H;@KcNB*Fr+| z!Azw!A!a2en1HSJA0~#SBwy#+Sy=#p@vLyiCUPGGuAiF^O{^Y#xtI5IHTENy>}I$c z(Yrtl@>sw#o!?m1F#Ln7TVcHe<6TDZJ>7TQ%4$87(lt(b*`LlHK~S2yAGj%csI8*= zoPSy5ihPUi?Mx^DcA-D884g|9t9^f%%AIb>Nq!ibk}JK}WUduxz8zGp*=ONX)M8On zd{I&m)8P|9HF;iM@Ow#u>{HOhE^wPMeYVZ)ullaPM%YU4U(6K-J8`+=hf_+cwCyiW ze&--b8+eyKI$ylcA%&WZdf;}niJ`bFQQH3)T+?a7N7AYD)EKBaDB${DiwslB$R01J zn&^@G=HmpTYVE_PNJb7d5f7&LJFb~Pb`BL+xSA>=^Wn4R6w-H3ACOU}0FwqtNozjm zKdms6W&QTd0IWmB!Nj^s0iq=PP>C74qw+=VKsuw_*Xa&PI+w+ryhp>l>Cwswf=KWi zuvQHymGVKxERfuFQ;(~VeKwOOUb86n-TRjyQjR84&H8P`J+g#>)NdXtkueovN3V+b zxL@R0Ydq5it}fK3A$2D^D-7R=ThWdwzVhUJZAI=6)YNG9LE+~_W^+zN zUa$DhsHC1WKlQ&`MKVLDkNUzzQe6l!YKUPf!pG&J%B@BMIjhAG)T`4E;2l}(M^H&U z_ug?|6Iu_gGeWVx2Mdv&bJs2BDw?-j!UlQhGi zMmT)~MLgs!SHET(`Y^AfRq()DI}f(|qXZ>)`HsZN!sVM}BH;C5Y=6w< zb419`&~~;`obOVbT4}&iP!CXO@r;ypc_HWflH& zENxwMJ%3&+jh%0$E-p#M;gw}=)$dAAX*1IdTlp?#W0nOcv=Rr7t#J~(rRRYaZbp95 zK5n%7f`qk*?zJYhBfKg9&Ft938BybWO_$hQ2rF^Xco;IYA=TY>sG|K-4D170i(Wx- zZL|uBz_4wxvwPu{{+&uZ#n+4Hm1?0&tmCLD!e}lHHKF8h`UVjSDXu|7X?CD5ic_du z=uVcCJA?lK@?s`gVgct5LS-W2( z@97*ux59191~my$+Uuje&Bc%B!X95Y-J7I+Tnj7UITlA+KT_Xl@Rgw$Egb*?X)bs=|_}ou}h3%PdA}T$Jd0Ax5L6a z5=o*fKuCji@oudii0?Y%(yBTKO3~h7AGg%w*^e)pS~JSJnK$q;SS6r5&rYNiEM{uk zI-m5c&&(2_DjViK$fdhuMjNd^-C0MBPSWa^spp}-Zc)zi!MT)2VSMlU*sAPn@NxSD zoZ)E7;BtGt%bE0YqXNqQ=iMBU7E|IOxdxT-fpl=W9Sds37E|YO;gamk1VEm?o(`%1 zBL*c>2GKxaec|E=z#ItTN81S`?*sN2V+L-VV7Nt(;yfAC0?V;4kf+sqhOIrc~*Zl!JV$TPHc zXWSgPYiVmQ^jt4;VSSl7^2e`;4oxb(!3|L?HCd1t<;&hGPmfzXuzv$X(^6IV6waj17c@9=Qfb2)YhUZL;W<~ z??ax7oP4-#$lu-9GX6v$$c1MCb80Wa%BEX9bpq;Z$Cp(P^Iy;0uQ(OXNhW)pJ9ospTJ$)L0NND=)jboQKl9lHoQsWT2fv(iNa`N+i#HObW}c-h+$BF zZMfTV*}Q98s@_s~DxA&GsnE4r-NQm|aj;=AFcJ84N?lf0m8)i{w2!&4p|=Sz-q5E6 zwa<-WiUK6rC8F=>2cgY*fW8eCAK}Jr%{!38KTDU9)NP`YfTdY^m_x$hwE{d3oVX7Nl{-q+tBbOKFVZ3ZKvPSCv@*d}JH-7y?e4 zALu_;KhDyh|66=;8dfa)dLTnLgE_O)hVE-NXFpt`g@*YxHSg@?%gpe*^%(Kz+}-Jk zi)5KPj^F9NVcvi59(gvQ2}u$a+sn)4#SRmFPraC(HMNxTy0Gym-R1X~!3*Lr9nO#R zdI+))$g(deXhPTY5y**6bs~4*dF6gd zi6c5y76~oSv=Q1k<@-Ec#A`*s=-Z7$ZzsM>Ycew3`|f4*>Lc)>F?)EqW`X#SN**zy zpg+HBLNl+19h8v|U(8|)<9f;* z|B_n0EF289HL=FJnavsWyHt;B2+80O%_E{P)K|w$_j5^!;$w~OWy<2Liml60iwW@L zR;^nxX6$#W_Y(yn`D$97K{8P2(t2uIvE3pRbh0GJ3~qe>E{3q30Gc7KPg5Gs=09FI zIMbgvVG(?-&nhoFpw31_&jVmZd%w1fwYQ7)u~FeoMfHcBpi*^%L?c^Fwy|zxCWKI& zHIdSRlu^<`U_}NoI~o#{NAaqeQp!U5)aHjBArA zx94tQ`UaM?*h1~0@U4NZU1gEff|G&OG&KpJjbrH)i$)6HdXm~j*Z`SE;w7P&da*{p zFPXGt#BeB)Zr{`-qPTWpv9ip}8)Z`zku2KUE4^Hbad2CWUOAJk;iS!|*E}W}qm9oP z%D_j?Dm{F zzQPO3pGL&xF-%{Vo(hYJWurl=@xRZS)7C0W9A9$4;w{%MIp{ zIeJw>Q`2fu$tMLlc$fGpL}1lOpJh?=@cJb%{NY`WXLGvumAgJ8NJxHY3H5taexA4DrlNX?;_w7>);YDD#65Wa40Imc1xW@P05jXs7F|`&%FkHTe71CSPyUJF; z!Sw&#s$ik!lpd6cfYr6-_OJGdudpnF{n8bKu9^sdpcALQ)0=Q+1^%Y=n}$#?V4i^_ zXR{L;|@sHpRRn`4y9?7R2UftV#q#PgnxgQRC&^c((YEuP}Qt|;g5G*MmP7lwI6if-!E-IgS zT?ITzpJ~ICMX0r(8L487z^*WEjs-DSU&pasuF6i2SZ)pkCD@o-_k$VWnMl8GYLNwa zhJDuS6_vwI{5Lm1rB{GZ9NTd!!R2`91YH_v|S z%m z2t4nba35x1lexwYX7F)YN2Pt@WkfM}H!CNQ{p!N!cx4qF$r~meI9k(c_es>+w{fjb zB3I^pJx%?hDlAt|0h7{Z7of;xw!xj@oxbDagX3*t`bWbh0nXFGCnKUiw)#C|H8tdB zKJ>MA&J>?J8+4^NM4p88&xore$ ztd}k77=E2agq)*1nH$cXPe8A?+Es*3dDo_uDc(VL(&eA}BOx6w>s+X!UjO3}sA;JZ zJg5%M9ORPF{!XU)ZDVkf!nsq97jEo;uy`4na(#9_e5ADMRa}NZ8TM3+tqvosEZszh zMtYLD@|S-W==mDHD=%&uWBUm&PAQJt1fxg?O0R8N4qVpsHS*F0^^GU-+pS*(L!$!w zbd$ZG8-81C;+;258BnNMF`Q@)I80ce&PO@XfWlg}ldf~kEN$s`qGFp55CJo7)?Mc* z2xVA|co6mcZ_1}T^xxnnG*9!bu4tvkd8j1aaz1v}4T{4OGzWCXKDR&8P5m%0{%q~X z8F`Ah&WV?D?IeY$dV$VPa~?0Pi5nxyJJ+;ld;~Rd$8~pVN4tbad;UtR_c8ii^YN{E zjBp;o!et)U;@-binI{d~0h12?8mkl-zk_u*L_$)r-V*U82?X($LSu_?a%O~dM3Dcf z@L@50cb}N9nz=02wZsvgjh#L0#9xg%^+%Zst|fLb(e#d0E^YZN z>3XuN&2h2KXf?}ZdHPUIh%5|>Q!0q4`G^@VgvEcGb%Bpzo)M)!FY-5L-x=ml1bg@A z+k|j)N()Svcqzk3vv0HHDjEF1J%W4fGVKO-L7o2mtzF<0@Py8sLw}6$&_*O}fBjXr z>TxA0g{F35YFgKpEYfWvxHK%-NVz~H7oqEkK1Wopl~r^yC{ z>oewRS4UXk#45ov3{@Aci=*J~6o)14*i9DPK$WhtTaH@}8#V*Viy-NsKbIKpM9SXz zTmipqUztM2^lSL;d((NlAKNm2p()*pGSiNuhdjlrgQ;I$jsLpKTNMnc9Sy`TYm53d zT~#S%^i3V2BPIHV_J}L^@0RfSC7pf`yhp(+Lr)3T4m2y z|MF`0z9fYoUM3fN3yDrRn|-}^%v%+iFgS8KT*;~m)a;~`0=t&>Zrwo9x^B)k>V%%HnR1B_4Tg{@GiI}WFgn#!YXD>cgNQ}q zd=y|TaVs^G&`xHfto^pNJRVAE>x>2L;(E0;uFuCO==Zs99&Zo*= z&Ew{#ml!T)EDPRM;IBO2D8cn%!kAeo`S@f4#fF(%f=YJ1BwC3feAzZuXJ^OS*_ES3 zmvx-KI-H`E1i9FBe(J7mXI3`XR2VL=UBG$xi`k^Wn4AeBmBFvDfInM#9Hyk7#GuaB z;G8vFF>r6K*13@F7fRTJ(#EI>fLPK#P`npWyVa@^dbAd{3ijc}{VOaqbW4oj6*(GDuuxHsC&bzc-)6aay=XxW=`)@pC*{HV` z=;7IGEi|sH*I2)6{8yol{D+h(qB&5Pxj87^)V+yje~`etejY_1DI^N|)8Of)TVGQu z(eu3(s;$*AP`CJnz{<*!h_pC;hFN~quZ?hA+4 zy#c~@m7kM0O5W}LnZYW~TvNFZpnlv}(N#N*$}AKb3tN))-b4211g)2xFWX*A%gf}7 zr&m8RHR{V z&G2v{|GSh>`Na6izgzC#|J?$%JxBKu;B3FPGiji0VX%Okknon@=ZaYeBb#N}r?x6w z;Hw({9=eDYYYRwm-~Fuj2iu#5$jgR0Seo?OC@l(aL43LtWd`yZ=Mzg6Y=$X&x>I{xeGru*oEi?^FCfEwI(7)bYT!Vs}dlV!V1iUw|><4|$F^9$v< zo4c0{Yy`TAyQ3T5{}6btMK13}{POVIJ~%+Y{D8a-W*0U~wsNOCOrzTZxW8KwN)!R2 z?XB%{?yZ)u<_S^ROiIj~NYM5dz?HBhU0?9kQj%5Qx%!obR7|bv!=0RvT06 z(Kau#o`+eFCT@GjGdZi1=HxC)ch&MM*UumZ;!iBrN&?(aj zUP$iXh0^XnC(ACJeFt4*$C1`nyAoQ`<5=5~CXjubsC_ITqojDMfdT}LBN0AtIlj#Q zdO`GmnH-0r~^Rh>>D2-@m07Vw)Q$#V`NC`_zTz(C_su_43%D*#jF;N(? zYnRO_c{Iwlo3(ot7@OG3XoGGk`L0Ox_$BrIGq0vZ2SM$-Jy)6X8oJwu71e8Fh7?>2 zAFd(?-dDQi0m@;9ajZ3$fE-pc)IryHTe??yc`7=X-o$&aFr{f&F?Ofzj!CWg-F9VrphV#FS^B@0r{x0HN!g6d5kA|SX{Br{oQBo5S~zB z%aT6_C%U6EkGh;^k2YGjdW5`dZAW=9=V<+0irmNNb;^duu$r~#2^cmA)YHMed85C+ zY;!God0o@R9M(ujL;JNwTPnT!EX_NZwk5)El#}R<73*z3;U8DI(m9`y>Z@(|;6|yn zN_3Y^%?1jH+7Q06{u*+FEu@_0?mNMrh)xF5t2b$h{JS;GTNss%HLJ|NU4FrO=pth4 z$3XRN32R!B;CZlGs<&jiXK0TGA6{Ztc2^^Iy|*(%OUs93wgYtq8|Zr?<<=*|72^4- zMyB@h8}kZZqn5`k|M*Vr!+l3KXB5hEp2%*R?kAkw;Ftc|ULDR7LQcuM%DYAT5?K}7 zS!(QUc+l1lUKXDS7{1S4L%B4PDe3*lky@Er)u3ZIt^bWFWc!v zZDRe=1nf>Y@vr3Tmvp7I$_L|pcOx>iD_4ySLw)z6vTzZ>g)aZO5|zPrU-Kl8-3-QU z2}!ovu(q}=e_f*4lvr2t%G?Ye6=BY(KdU7)6Fz3NZ~BOyj0?DbPF%|zr=5nJ! z>xMDq($@U|I|;&RSL$g8To0dTqfqXHFz`BGrhPj<ob=LhGs@=(_SpJD;qAhd(JGO zr4NEv;N!k8qh?Acw~zb^8!DuiijaoymRp6;V(#NP==Mo0nfK$hp1Of4a3-b>H@Rjh zz3-E|V{cyOvl29KJ$lfm=hS!jA4r(>^){o7{JgCmmYEp()f9So6tNk}rzMiE)+&5f zz`(P_Hd*04G1GK{)jv^6K{F7Z;x`qXWfjrFrOsW7XrLpkwr9AS&ls6tecekaHE}Ww zz;;d83jYpH66<1`JpJ@t7pNsHwhV8W`zmcBD7Px=p1U(jhHH;#os$jn!rC%NjQA3< z-uRO0)w$nTN+LpW)ks`sNB;Ay)~0KUd*KA7U9>k_lhtNxwx~a8CnT&>OZ%vPZi5pQW!7OSoBVS6 zkDaI6WWaSwr8qO@NXr^|>_R*Lezvh8;&5jqU&D)bZn4*6aE&~>QtYRDtG@NI*vnUw zxE|!tx*W$Zttyt0Bc7l#5gf9jRoFPz!{Qk1W-xRY$(+9@8aOxq0vJC2I>RX^%S+&dd>l z+L``QPP?himm)9KCXt>+Kf*HnBCaJmgO}q11BQ(Tk{CF<{glNL0>fQsxQI{AR$S8Q z>=C29SIYQG9Id?c^kPUJvk$zH|Vq07XgirueDm$Imb=3Qku|fd{!`M2m{o zZ%X`CKD#1m6Eq7cYIN2sIO1Mqa4LI*$8b^K>z0ghcs&-x8(*uYocD#hSjCZjj)pgl zk~*PII(^lJ{U>{W0MVo>_BV%s8J&v37sm;b-WYZeBM}b~Q`e~CO|TLDSX5Cv<7sk4 zsu}&K+3Cl}a8+XM{l&Xr$L1iZKFXuY3OtjTJJK>?sB=j= zQ<-bkBvcwVnpeI8rj4QY%wSlG{$2Vqq4UwZOn&l~|2pnEtqGV9?D6z_Xbtr)mvTnJ z@;KGwQx)PEvJvYh&L+OkUj#mlNaCBj_a#L#PWDl4Aw}=qXOrEd0>J=O_35QjOWoTf zv(+ryf48b|H}E9#aS;AIt|W<$n+8549vX{hrav5+U+Ei8h&Y>XA6v?F9S0Gh1I#Q3 z!X>4|##(8!^dPHUc>rqaq&U8^_QbkyPBBDohHXBHHP?yo$Lw6P+e&%&OzxqYmZ||} zAZMh^d7#tgw+n+DAacx?m6wh-t~u#D{gF#K((v?j*Zgn!>drE&omy#^JNDFZqi^Wq z@Ms7VBJVl%MPdI2Z5sY-2Tr^PJO|Bzpv5dNyqCFK5@DhT<3s*FUGrLF(xag<3@xz~ z`Y{CcC>Pv9e5M~2L0<^hiEW(+tp~U?H}0DXIX)^Tm1XVw&7E7dbv3VY=V4`bd3vlbjeAKCJhg8Z!n^~c+q@F3r_H9T9F zk*}3d0bEzB!D8VZWS6iFjJ()*Uwf@PL9446VMh2W1Ma)FSSvrTi%}x;FzzY)6XL|S zm@7=w9oBX(T%)!__iZ+=n}{Ps#(ny(w~bc&7iqk?v6iYc%-C%$rw^08L6m6^MR?dN zbn;RcSRF%(W|tUtzQ#ZQE9Sj_c{dY{l8KrpsC}o$efZt7CmY-dvsRY_Oelxm=j3}t z-`D^fHi7x##6Mh%Hc%Kmb9T3zqwddosV);p3b>)fcku7+*Q(77NzYY1gptF~>(<-y zUH#U&N@iZe4hc631Co_$5?zpB?V6os(kRIjLPIjZ4qY0XPhVIESpF5AscT~|K2blk zEU)IQaO65-F^C`@y^EY|&AlS9FND~SIgHKkK6rX$$rK!t4MZ^ykmL**7Z?Br!<#<6fZoa8Xz36j*q)Ai79WU)tS085-RrXppDEmZpI^qQ=P5`DLI zHtCxY!`vKYoy)PFs`f3u^IAQl>;mpTssE3nvkq&rf7`e}A4Nbx36T<{MuT*N(jB8) zVRWZ74~WEQ28^D-Xz9*LGY}9)r@%%@cR%m_{(m3G{@5MY_q@*Yb5^oGv5WU41l5KK z7Ng+|4ahM3pE?7j6Y?=bV^Pn8s(kcg2kG8*Hv&-( zS2w27HQKOK#2<4_m@%e92UCL`E!&xAO4N^~_F646&BNgj=r$BddU^mR5P0pZ6aDt* z(g{}$)hx#>B*wg~WI6CY&THc!W2$BAApR7yw$xGxznD$%jI)sx=!K09f?)288p7GC z>)1{|C8S^CCQZdvB$c2A-H!i8l%R!VraJ3 zZtq=%N{G9mUs@iG*-YI~w25by@-8$ySfFv|sglK8iCkoBLM+LyKD(?JCVL zjkZmGQSGxza@3*&e;7-b&dO+Xapq}G=J+4{IQBYQ@~k|L0DfN3ns+vJIKVeFzWdo9`G2X-RqT$ENusaiZlrwcU1%zoZ1?Dae~%~y#bI* zE=s+seXH;jZOLnP0!CFuXCo^b`5F)uB(f`vrjA}x`7lUY z&;#j@$aE#lDAc&H&7dOD2q|J#jVYvnv85KMXJ9A=vtCpD`UY1RT(}2)JdZ%CyL3A@(tujmzWwZ8&h<;tkcy$mOn@LPO;C*ulwIYj{F*}Uh_bq-wPMEyPlOLUK>oVbd=iJ04 za_Lh-ltp-$VuYWH&^|LtO95cbsJxT-{obKg zi5Nltemxuz7++IXcPg;Btt3u>IsP{ox9&1otEw|Z&>7q7-JT*TdzB@|0VEV z3C+-H(fF|9_7mZmp4W+@`@Y5dJTlUnzv9Lut|3#_(|(CRb{S_>(>3bL9n_e>dR@oo z7ZQZ8&cy;OWN=*}5C^Mg4E^(S4uYWAl&6nO!Y$_qZ+NUJi8+2Hn<9nwCz4|4SJt8rCt4w^4LPoe#oyd8^l0!~ z1~K~VwM5cCGoK<$)Y7SHu!dCK3r_dCw*qGw-`HRM{w*xeOvp(1&iNJd6XeqY`QOpy z!-Z1C$;|130~3PE@AHvQ!maH+9xK~t2pFJ&(9VPkDFscqx<(4|hcInn6@F5==ZtL5 zwBc;ugymw2!XX7ab{WGaBT4yesa!EzXbC^HQ|JLx7sJ{xvm}$(|sZs}{89}P55IswcXc1rsgLJqe zt|@pvHcS}RiSw9wk`?0OR_E?eBz_fYg;AO1<560K?V~C00aylm19n*)io1~1qC3yI z@(##p^K%vBq;Hn@t3H0TLuq-*H?<2vPRp_@MJ*q*>zW}8?s?h~$%)7G6T#qMfzknX z(6U_G?xch8-wE?vqCbgYxdI;(-gg)O%mG_C*ADpGT&7}+Kz|dA_o;#yO5j11fJrKw zoch*s@|NZhJ`y+}#kpoU_>ejCeI-g~Q%1o2k+yITzdrmU8=WZfdtXeA<+jPaOy!hn? zkO!f*HOZQCpLECzqF2TKP}_oP1u@53ul@CP5d=Ua!%gP@8~i6B&fAV z;22?ohS8EmWj8A5tBi4Iu&hY>N}zqs0=`&oyTf~kAc7VmvpaQyc|Mtn(`Bkt8(QVLu(;rX&DLAao zd8jv*$Jp=7_*_OG8!Su`|F}BZWIB`kR-nT)TPH5ZW54=K-K1saN(-ERHuReIb#>00 zz90`(?VM{g`Lqa5{LAQJyjci*(7Fqw!u$7%w#5@|hdpg7;)A6q=MMT`<0pH>X!n7{ z@bEV-o{Z!KCvDL^|3~y=Rw?Z9MW(A95pqa`=86KJgW$c+ZA|J>twdxo2lqO+syhf= zsG>NmBNS-EZoJ$UpI57UhP>XED>36C(Qrp;tfF z+eUsqIgywD*q7=@G-{ zaP~;f84l;ABW^5A?Q-p6arA;<{6dSG8W(nWr5)F6cUFDcnwnXBVHzx#;?)9c6aAC{ zOE$+8M)eyflNgtt6KPiNm;v|Zl~8@&Uu(O@0j?^WUA;$xIC|u^U~NBKE!HrzUvj>_ zACkIxouX=x>T;}hg&;eY>DIcVpFTRT$|$1Ctr(#dUuL%5NTkoWT1;#NU9ziPI#iJ? zUIn||*!4;?-F@B(m^-(KPuDTYl_o&(3Mr^M(!hFIS9E-aIhp@HWG>vgsqelTNg(?Q zj$1FH$Sp=zdbXTP!v3IG9vDXu-yJ%3-14|G-AnfIRZ11_P0WlhX*2!A)n-~ZC1bFK zG7E;Ap3nN+;#UL$mKLOQC*SWyWDS;jc*dWo@ zSB>!&#rj%kyMi@;>;?gDW@^VJho(KmKEz>P_N9a2irr5WgDlGVy%yg9XwX)RZS%|G z&iPa6Mj+f@x)mN}oTo0}C@?}~Vbr3iS;U%P8tN0kE*#Xp8Hkf8^>%r=Pj$0dXq+hQ z*S(f9Tx*6Jl$zg!8C>gZ?RnsKJv*ESun4zpnGu2c-{R^Hm2Hx0ofq+*_gbavW5_G) zgXK z>!VKgP8*e>?gb*EOL!S(yAU5=Mcy6Em+%q~62 zu0+kJ);0?>k9*07vz0C7z&6UYiGQ|^k==g>ZSTM3a+dUia6DifA3B3yB zL<8oIynF?vN!8~XB2PN8t4#i*7Q+VyQiFtccS`54z`EOFWNOfuO{rIeIdL7&*fj?bwR=ALS@oxJt zF(RXjared+P7yzjhtJDPTVE8*6d`51*^H{M(hTyyb-i!&y9x!fiXZ;WPvJKCa_x0z z|KPLx@Hj|e{0uug%z^UXEi*k-;fe~+Huk2e2h2~Dn6x>i3P zh&Q0?n2}g)qK?CqHjfz9-wW+dmt4MF!krEvoNq&AzYj9IzX&n93(oR94LGx=>}L~o zI`_jE&RciKC<;`W_s_bFw_bHIT?br!E&Niv+uG>F;(F3pWoqeaT`{jVvDbbuTUKRJ zG=5weC{uDKBJADS%KKJYYb(U zKnSKRlI_QQs_$WLu*Ie3bjheON-M3oKh8MOIS$_sx@4cZou87}g8j<$WY3K*vs~RF z<{bf*e#!udS)N}R=mO`f`!H9ygcQ=%#sga|*rY&=i(dWiEr_yGR!NId|Hob7@nl@A zv+%daBuSeb|A?kQ!=IR0y#jfVvJbIof}nEwwXwJtpXKBzo`4*a`9BypXs$1?+6$D+ zwsWv)5KP5C31g76rzp_K{2nm^;+lG&@?lei6auX@I9ZpKJN-wVPyu9{sY3M46TAo08HD`s;W-r( z7r8D(N@oaV8Tm?NP$#;Vwixf!uXA>n)eL!Z*MbG>_F)Mj z-#6Y+R*i1>Tdl>gj$JQ01WQgNn3a&F&ECe=L(eRUg8cJSg)+rwh^!)&RZS>zW|Uo3 z-M#z@P`*2Z^;>lkOiHD$uTp2`Mf-|4k^R+ zL@3JY_pXm>H8Hye>+Wuf#eVZoGp+C-kE@a&Gk-TG0yI^Wm~MQiYEPT~dlZ0dO-x4t zyRH8PrFmCM@;^)!Rgb_g>+GtFx@>So^R1>!K$XhoUDlP57F}UE)?stnCdaCLMKE^^ zd)+Ex7Qj^OWuqMn^GH;Ov6GvT_6qy3 z2-qUa0~OECL-6>worXDgzg}0Vn$t6{JmmrNlUW{*%OJsRfyHz`iTY-FVVW@V0$(qN zrWb6FE7qBtfRnL&u_?Lxvs0PgJ zX_arR0xeq*gg&wPkmO9jZ~7!qRu{w-sKF%9e@k8n$(%bLxr+a(r;J{3VAgp2j^+t7 z2w#C&Nj4hq&!XW-o?e~DC?Pq^}2#2Pm=AeZ%0-o9&*@T$hfT%>4!#^(ikfRpU>bAsmN79EZ& z_O4u|x)zg`V;4eoikXo1z1f}F{Ouj&FlJn`DHSu$3__;TK-UIZtGIUmd-TkE@T=O_ z=jmIq#G=xi8U3KH=}YVtYNX^RYhk)((G>IPxrP?MS2_S-TEE@USXe%obsb#w*dP|b zuC#q6AJuvP4KHXayJ~29TjQFFnM8^9YU`K;v+a|W%j1eDwNPJrx6iIoq6yaUc(yF$ z>(@nlr{3nB6YB7Lw??7NLiygK@wTCS!D*WEeoWeX<;_SF6Wt4bfh=!e`m>8Fvv6Lj z`0tSi&)><)#Roi{JJ}8?_B~@E_O1gXTCl|dZgI91<^AK1(Rj#y6n*IHPE z%?)xNSpDCRB(xD&1QVj-(P-~4!Rq)vBSaLd(|ByZ=WLmmpCr+ zZMk~iS!5r#*(HT)2Us^p=a$iyk>3~YD+CejEG6i3L%TPcf)D#L0Ro2`mrv6$N6E1x z=#i8=`3})ZC*l0&hnMqpCU>iR52R9oWe?23JXB5;*}8dzB90tyIB~%i6^k>sM~(qG zA!CM+)+5D@q&CZS8O*5_T5S8=$W!6JM_&>OE_s7zb+$SYz!G+mW1^e;hDbiEJ;H_t zE-8w>I73abmJRMBTzqE;(z48??bm1#F)Q(kF5C6})yw9$H!2IoowowO;Jjbt;L2ex zA(OrU9;)kEIQJMdzfVg%@VNEf80c`V$DnMlB61e8ru5clx&1R1bUa)P`8L&RSHulc z4RQAYocCH=KctyI>5%86kuR#$jb?% zee6MM=j;WBvkW5KuAzK%(vOOj(?@BeopqQU*r<^9@uqm|g(Mw8#=MN@XQHSr&MnUX z^0rX9Jgx=wn9(T?x{6&`NS8Sp0d1x&76ViUkKD!qx2V1l$@5=XmUMs6CGg9xkaUq= zz+PO)Y_lxV*f`%%@%6^(rF^R%;_o$L#4QXsdoa)V#Pe$JPN1Wncv(+lr?BG*{+=#3 zYqaihIF|N^OT`qKvX(alp=Ne0F+^eIw;_&>quY zCUufXT#)X+0B@%6NBlOIH8s7JIg`l?W$u(Ux-*?EOz}Q__?`dyFK){X(J5h<4e0tj zAd89{h6H_bJ9ZLiaAy>ZVMT0|LQ#p6ju-_;RxTa7f8K- z_7P9Vgp4d3FKJ5N&4%10+Grc;8`=fY`<^ypE&3o0h8&O zpr&Q@+@?%6FP!UMWoJ$HT{ken#DC6;spE<%XHs3YG|Hob8(yQg6rCFTFfsHIjp&e;q7E$SLAp=GwoJptpx~QjEz7QJr!$HL@-jq5z*)b zv~ld|b0MQ|u}M?bAsuk4{LwV<9sg-|yv#L8>=TE|GG0jeNUCAJcIdXiPQ%EPz{b^8 z#AIxLJO>o260*-S*y+)K5A`DbY%SrFJ)v-^f@y4J_u5&V>NWg*gpEy5_d8K2c|+C1 zugTltZ=Siu46^O7RytbkmQE}jKG_j>h2a^lf+YELx7wChG7nmqR1BX_1QI%1*nDAs zw`hv9OXLbEJVk6vZ90d?lAC@`H^UPHf{j+@_(e=&CEJdrfzG+3As-WXu6aDDCQ1m% z(F0KD6~`V95|%el(WO3uq&CVdq?oN<;l5)1mk!pHDv2K12j=9d{U6D(F;JqfrWG|S z%9KCw`Y8mX8XhgtghsotWtH+UH|T5MJ(`PZU>nPLC8%a2AE3`REg($%x$MUTdqhUE zP|cn%Da(tvO!?m!Myod&L^1#53#&V4I#?0>OdZN5Dg;?6F;B4z<53|JXt+A10`7_P*|uTs?qT#)tN4 z#N_vsre|!t@{mt{w*bh>VS?PhX4s&F?hFm8(c6+Kui*`F((ve?x-lxm+D{WWu1cy0 zOOCfRQWs5+*Hc<95wqk4s}&8t0inM(wBr4kcxk;YCQ|u&G{LBH32driQOP`zllFC% zsou1XPoKYbJyeVoR#j5}%;u;d$c;?KpD+++D4isK4AsGFvrA%(XJe~{CJ!(sDay@9 zu_f@4c$4qRXKLGnqcQt-mIEO*+ z+)2kt>A@r3HCwtw%&|i)Xmc{pxegk40!eAwYUI>uN(oLrLghFos!ZL0P>e9l=U*#! zO;grXvX*+SmhIk%)q~)PyPs!Ttm6-uiM>*DyNIGU(i7>Ts$i`=ux6sc|GlPQBa&Zgx_x7gd9!ISxokk;9oyuxyuQLJ~IKsuMWC~_^u!^K17 z?WBjLUQsKj16V9^Q()r^HznTm7O!x$yE=|fON7@|w4b{ryE3_Aon03wRPwyb-#!a) zjVkOenOYmW7~wJQaX{nH=UM@7o>})Zh;qJz%MDLJ|#VAk%G zQQ*$1G~g0gY9W9;tWsbBFmLgw9Hl0h1Q9f>3F~ZE^+v8sFeBh zHwWXonbZ4`Z*Dj}&!wd{5V;|ZRe3BE;s(W(G#+Tl8wVSI|LPJ*NHh}Y(et_dc%_69 zTnvgQ&Z5z0_t$al+Aj{2_&q?Fk3Rq>WM={DBgKMNZ=CEf*P%xn>&@mnZI#Xe7V*31 zVwWeBV5NY$UkK%YcJbi)@z|l z|2(@xZ3d&EY2o_!@N7#bwsUM>>7ID>q&K1!ds;<~P`QGosPuBx%BFbudmAjATWS&A zv~wCB1+Ih2xbH0}A-OKbwFB_2DAKqrvtv7NHfmP@d~y3$3XwJ5E={Xbd@X%!pt9oj zN|hrGwcw+Ho)w~Gp`U075st#H_KPkRC04lTq^2_5TDa;4X;${|IAtCDIOM%2gx+S} zx1c;*=#7u$1{MhYgfhJb+l|iYT!i`!)ZllCB6Rf884Ib9lGk95q1uC@1&(sexmN44 zrl6h0+TKkAFf|j?!24wAdn|9`On^i~E6|)U1F4S9lL}S$roCLg<$1jrSq=t9P2H9i z#^1MY%XC18Cp6$o{9SOe%7|(~*VWnY&=dn;#$1;hM3Cfjq<^K;f1s#!*a_Z&B+6W8 zE|K=>F&ai~mW>>(#ea$KVrnTAwca{Uq_4Dv?6dH)63luaoOt(q?B9E&W-OsIE5Vcxx;0ztdH?bmZ;-y z$~K@ml5->aUx)qHAF3~cM4$^@!lsu>o;#n}kVPX!ZWC>|>Zuc>?{10oPQp8d8z|w7 z6SV@zj>_iOZ@9BtY_q%bM1OU3EZl=ASEp0Rx0+`g^y}et2I_U$_g%ce zAHh@Dooi7E`8(!&u?#9b~OkMiiwvo@|uO3x(L+#$Sk?scWB`vNZGH>XtAF$1J1 z4w8*YOD*D5NY~cioi6z^aX43}rGEMBE~s2oX>gdMq9T3k3@`;|n2Q4-Bs`>^>o5mCGXpXj`-LW}sgW8zgTEIfq zaAh42YS#qMQ~7QT?oD}3GStQ9CBq`kkfcytF4^|0IlWWgEWmXn8IKY3b zn1TMvF-T|x0%gSS7Jz`su)^DvWJhKq0rMBp88tYREHa$0m_j~ZxwFToYqntFYvvi}VGL~b;i7yNgX?cU zR9b#`dH3x1Sx%t4)pEKSZVIAmT&H%Yp;8gyy35g0wKeIzU_Tg*Oi^J@V=J0xZjtMJv_bVMCRW)8fxzwt;VDA~_Lp?Yu0G8c_HmsC0t z15UrcWoOwJT|0GMF6?B{@bI7j@-^uwS7YEv9HkXi&(cinOuM`>w0}Ckihun6f%<{Q zv(1g2yVr_9PMtB9T!_M-+t%vPezE8-?(;09&;oLcXlNR((FFj)y4Mw!( z>}s^Q=zb3e+cm4$M5(G&ZB3map5532=znU_YMYXQ*`rj;6T7BX!|MFFlemq6pyz=B znuUMhs<~y}`z)sWk(}_=MrkbxwWGjds}f=aGFE2+0ed#M+xgP2U&JoC#g5YFbfxf! z32B4Kgq7^rfXaZDW5UoZ)yzcG>)`GA;~)NQz9~BK&JUXegKivID&-elT7~n}G1Zn> zi}^E&TlZ&!L4Id>@3&jryR8#McdQ9b4Q64?rj4tPDj_8SYiOsu2Si#9)#>&0M*d%O zGL!E)`OnS+MsDXCDOO*rT83zD@0i?Qt%=|7xx;a7fSVS&y*0>E1@H6EehU{REtYwI zSWh*FB*RbrU+&{DB*NWjFvR%BLSgscTx&W6h5Ec5t>1C~uon!td75{&rR!&E9oD!2 zPX~o)F%HJ01GTugLe=&lNIOEOBrT^sy%P{ZvBf*Z=|R6;i=Ot?iB&PzNi!u*bDr5r zo7HyF2CoFkm<}Rn0uV8cq&vZ+Zd%B^?X9W(hf|PH;L5|1W((BGQ+n}83&&Y>7N42U zw(AqfSZ6zyu2{$FiH^~{YgM`FX}OfzS~Kj?U{%8?;lW>gKI?~!SgzQlSayni!QJ>O zb;-SDlJvVfImjOK%X@Bh7u8DT*0p8<+(=*EP(2T0GEfyTRg|?g+H~ygmByQ-5mX<| zT4*pb_9Ti7$^{RBAoHqe@s+x|QH?pGv|U=u`Ys~SYi2Ilv@tOn$MUbw>sVDOewq+!9^swi!29Q4w-Jium! z?gUw$iAbx;QN~E1N8WjzMc$5{Z;{FV~5CO6Ue{qU!t*sjU5^}ruby;f02Ct{dR+y-b z3d!rCOKMh1sCsFv8;iHByhfI2;-}A@eAa`2WG5FPgZNaV!(xxq>Wliwy4DKO;N>+e zPDR)jZ07fvU)vcDunIi9n@8Pc&GPX25>+4`_KD4de|e=GqcFN_fV-Y43nkKXFKn;~ z9U-=E{+6PLS_c@HnmdS~!MH2>p&a@LikQ5$yttG( z>J`5r9M9Ne6||R%`W>OTLJ(6Y4^jT%*u5mILvEmQ!N%wzpfTWRY1LdH6!>p6`GD`! z6cehJWHP;96^P&MysSOjyh|!jb);-qLPV^NX#5MXmG>T3hBERwc#b{3rUtSe)j~%0 zvDn)N`SHug7eu7$b2N^XWG&<|H3QW0zN%LC?W`RDP>t-p_2-sUi$9adp8q`>WPCr6 zQN$pY4)dgzXPBqev!YN<`j$3qAfIf&6)sP?7tM%_yCx!!0pTNu%DH+OeVym{)&Dp( zjPh?Jy+7>Nl{YSY2T^Lt{707NUu}lDY@luWlBn1l{IsILxtvkwwS9gQv(96@urP-S zg;FMwV5oYkHi0s7#00Rrv_y{Rgb~^lKiXh;|NWEUD-UuNPbM?1<3+C8tJ&hs5}32# zgwbwGx^eOMdpFeP*l)~ug3RGP&uTtehNMPLcOw{pa?Ks@9BWZSH$B5gviMvsg4CKN z0u8H89X_kD^jrXwU+mU-P)>_K3Xt`gyayrixfxP`|Lmm$Bek5)<336ZiF*uld_k$Y z6)TBo`W%0rDw{KxJufVoDf%Mjk?0^y(H1Ep@Db>;I0C^-ceaYB*AvWi&wO(%IlVzy zsm#@pS<-Kj*N=~|p6s2%&M|^_WxJr`g@Yx7MbEtjr-bRHomYjqYrGi<&cxxtsQ(_> znix)=b%A}mGW}zgs}C>zZ*_t7+t7H{Id2jVoa%ak+n`+mmNlQIN&;TjiE!kPGg&VZ zlR-VxW)OZ8MYAcyo%l6~_4@M&M#z0?I-A)}hfMM~Efk zaPo%&RfVV`u^9Ete1*rgl`*vhjG*vGKa&sGlfuy)9|{QKf4Q^TuXF$WN?83niUFWb zFj#-LH&A{bCl5|$vX3y!6js)T0`HQR@8loa@Fw`5z1L;TX0Lq@iRmL(vIak)RZt*Q z2kUb5J#ycB#g-;d7*;?-1NrtC{vAY2SD^ZoOhI1T-tmcX@hO9Zf;H?l3HeiI0#fA{ zMNbI+k+b*fFR(Y2b+#7-g~it*IpuX6l9;bqD!I`4wZ(E4oliP7n3l#J{A{`8Q*Z$J_rNeV_#3)>J2ZD>|$mpMU5|=J;#Tfw6S5M~y%}&`NoPdJ{0@o-XpR0QO(k zeO4_r?%0k)m+dl*X6E?945TPoDrs0WUy@mbh0K zzq8(D$D2^(sw^@34lcOFleBjUefAd+=Jk%pr|z`gT#abtcS~tj2)eID9jdyvp6OVw zyUlxs@b=Tk252TC(@XSIUWL29kIRZ3fjOmme7qfQzu^ptdUbYvt`+@OMO8Zw1{kQz zfco*6hB`$ek8H_8>ZM9+6iV{?WUR|{L;6knPIBOf8YfGLb{T)*1GH=A7qrThc5`G@XvTovuME!1wxi&Ua%T5;_YA_0OO;&tS)# zYA^WXAu~ITRPR;~)Ie{fqvKLkjLwhC6E&O0SZXr*QRA18ZVDje* z4u0}zK9egmqq*;KORZvm3BB2a!=1B4IvXpky@Ob{f<)H;Iioh;zZe=TDET*bWrs{zh41B!(OU-)34R|<10phOp+$p~55GlI zV~sTu*r;iZ1CxmU1%E7gwa!*(5K&-4h#rWyp(F5Qj1%P2bGgV_6CZdu4C{Dlrd6(K90Uwdf>g5+$G)zq#e@@n?V8?|D+HRIv+ z6)+;!D&4<1A4J_HJUy<~Fz#a9S<@t>I>Wd=&mJr~^*>t#rP=^rO}h|Tt;CU=`_Mi2 zv#!u)P0Qy_VK3d^W^70Fe6|0IX9oZDDHG`cIbi2ISvrcy$mUUxj1+JO*(d*_o?L_$ z)MaEVCkMaUe&w7^W+TfKtD!7H{zr{JURfjN-I9vOr;L;?#dQr;E7CV*pyXug=ChI6 zo#0zvcBZ$!juDGz5!on6_SO~Ys0V6@&K^5`{tGI?D(Up4pkpCMv|0^L%lh!d7iLHG zKt~;%(bfjld}&Ob+j$bY(h6#KPNZ?T^jz}@h7lN#16~5k>0C@wvIhPhVYqTRqe3j$ zOgmN4DBX@g#QKRU*}u*l%3qloQ$WMCvXK#w6C%K$nYEwr>M*XW@XIR3$9!jzbvmGl zn0wckgrSLft{TReLPZ(fPRh+_L#p~wwjlW(NSz9jslC8fp!~lfS;BBOU!oXu!iZva z0XA$5szs0_28jIV3MeC$_ee=}AhOZ8x%57yTG8W>uoip)1-!}i802d!s{$JxtV;}0 zok@BWIb(qmcuowe>{iRDUe3Umtp5+GjWH+5E1(_mgOSny*zVZs!1OnS0{my$!`vJ8 zk@5WV%8DF{zZJ00UV*WUG;)MV>Y5*0rmT}etbAyK4NlxA!YA6)s@5KaJntFyRl`9# z9LjnowMdqq{t+Ol&8FwrshHG(Vq&>Y-Vt^Pb<#yaP?y~I(4W5Y1z<&!Jd*)Mck}+w z9ZxmoHD15_z_4MJ8YZu6%^0M+B~dV_zcyBq=;WC|xmkMqImc7=er5>m9`bBRBnDS@ z^pM4`*KjSc1C&ZfEY;rXE1EiGFCb`H&a^VPIfLoTfzvx)Stu=d<1`q)lK3rz+8hkt zUdT`-jr&|R(xg$Go$7HAbq#~6w43^C2rpI{-i>+hu`~Lngu6Xhjz4JCPUJdtpSs1Z z(%gi~Vf=4?)qYKJ!lSzu&_wx?+}@xR+9rRjpiIjK@4?<4Tm+sdbFXs94V%F;%11ld}W%y+~B#;Du1uuu^hPTzU_4$tRVY}MZ1OBvpu>p08ykmlvh&_z+xN`X_r2d}zoS`mHsKcL z7JlL0ANzv*Gd>G>8jC9`z_k*6vRJfu??Ig~Y?xv+E>mG+uKvDc`N^DR}*ce9M| zKN8tXJs5t?a%s^S4ZI}!L_|`eSTB_9s25M4PY!atGAueEd#qmPK&_Ky(;paF^hf1> z82$LYGE0(>Uu?K-r1p>tHP4(Hc}8(xX7QF|9NM|`r>_047-B`J8C8}G$2h}gh*FQV zZ$tc*U0La(8y%~|q^j7l%Bja*+N|ATcHtqPQqP0W&DX)T(ZE<}Bb3zBG#!nFdRL%C z+S|^mhye*3h=uvdFkZusR^lsxhMim&kJA1hsT+7H%XB=;_nJ=7qRUYw@}TEf&yBTF zQnek=vBTBd`O|Sul7jEz`B$ zp`OdAs<$S+hiwvk#=>L{Q`ThuFrjR%ZS=zNP8s^yQ1G_v#iG#DuoM& zD*9(|c4mO!aK4AqnWE;V>WDseKhM!(>Z$vPi_^o!Yw|b}HBz;Ju!W#3jiR|mKmYbH zQmpE5TGOQJeixc&A0^+u$dM(jKDAmPErgMVxH|7R$EBFo`z;e0b z9~t(gzZ_#WTw&k0`JEaAk!f-iY#|TM)t4UKU2lHmAnZ$ey)%z^*HhcZ)iLL9O7hYi z$#dMtF4@XN2Yjc#3^JN-uuywAJeb>LBe=T4c!y%yYn2V25Wmo=vCOO>oHEK(1)yCd z4W@+7+02#5)_+*~bQSnqNux_S$~|S?46N{XW6m1O6K8U$l*%vpnUwuf0kJco69{w-rc#ea+H zd!ud;>sr$%5H+BrBY~))qd&{#ba~RO8d6s8@^btow2_BbZ8F_r*CQcOumJd9WFR>% z;-P&HVZA*!3Z~w3uYG@L0}5fcP&YC{G{TzvwzQw+E_u=ecZ$x1t=$3bD{JeTh|<)6 z5|v1Fsmnkuw!5~mZ9{yhgdJ4H@8-g@lou3AZie{p5sRTW_FR)aFqrrGW~T_}g~Y1y zS%OwM=n1zQtr}@kdu{%$Toip4aaR}=& zziXojkp=!e0VJY!%WO4c3%^B`FY0yuFVAzGHPjD3w=GyNbxuaDQrRGd`s8AQc%0ag zY4P+phy}_%>#vE5+46&7XL|!0Wdw@WBT8Jby<8cP?1S~%QN?>$tflpu3`OYkO1g5Q zvwdH40aM>k-#6Y{D(#+AO%GyXGvk6#>c1;k26I@>? z3H^DJlYF;g`A(X7=lST4)JMDQb&uY>0DoT}_Yv+)(q3#h)| z_6yd1tlIU?~d}T0@6LC43G+CKrx8!pmVJzP`e_un`RHWSJkRJjqjhM{@y&a=LGW{^6pnzK6i%v ztdOvQ7-7EW*PYZvB1O?pULhO)Nnw6vqg`N$2ep?=9YDV2R&jBc6aGlX!2xwAV0h7# zUZAe(>_GHysqZJSCnNNA^D zI%Fnf&-hAOJn& z6Z>z8 zQDBgLKIZ~7nbVSDHMksJB`YUCCH^-Fq~3*JXOcRIr=GFSt`SpH(3GOC#7z8;GO2K) z{UY_dRB;lIWb(ad-GSd~QeG)UB(29#8s!ki5xjn(?(t3zk5Rt+J!I^OliD*zMkwU_ zfeznCNcuO?Xrw~=M8U$77$*C88jvzY+S7zVt0W{Ro9mN26eCmgj5f>DfcLGhsY$VF zJwbJQru}mND;D}6vk7y0Sl{;*9daWqn!UP3Pv^s&v6$S=YmLWgg!s(EYE|Y%SZoRZ z8hs5io-$%MRv_8vw^ip^S&c`R$`FZcmI77N4~!cgC%t5P(XfKb1=-;KoH48Zz0sa% zvAQ9PqN3<+CK2=Xv&S2}Ln1F59LYcaEK@Ii(`fkPPGx6=7pM+*1dNOVBW!EaN$0G*;F}K zJ7=x0C$2)z=hu$$n$_C!G^CYrvFmvV7RBl;P4&|o;L&aY405_u9Ea$U{~pmjd^ro2 z=AYaqZ#0($p8zlJFRYPbBF=$sIVp_;(`<`MV|s<@abhLx{;f2w&87Q3XA5;5 zRa^8jLO;-rJ1AZhM_W}zWw3R%H5$JoB}dTT@OF#0Y|K4v2DA%*qpw$arZqYarPn&T z=<@U3SPpZ@ciK_owobKbEgx(RWEm$9Ztdn-4ZUgJSv?4XZ2U>65^ogT4yDRlnG= z9>>6SsVq^sB1-C?Y+G#Ag)f$s!AaVgvrr-;MYN~jz}G-!V2i=}PQF<&zX@#90&7Um z`q=Qpxs1c)~s%l*ORSA*<&q@;iNy}+q<}lP|qc?YjvZg=Q_1* zd&8bn{k6t!)B>RSA|si*jsWk`M6wcMg=*;Gm_3+pw_wmz40i~P6jrAC=QVn48o z-k~BgBq6!CB9_~2vob7@NXm|x)X!Yvu}h2lb;OGy(GMwON~a^o`bX=d_bF3z`sCOpIH(Flq!uz?Yp9rDuU3DR z{E8(0hJ@+EjfI-E!aOg922^-Ym`d6_vOp^c@bSy|hAf4ETuoZS=Fq^D_pY1(1y|Lw z!0ykL>BgY)tX(=>X-aS*Ufyc+SoZ%oI`43{_xJz%oc2_;RI6&$IQFKssc}#%F=}sV zi`q2yOiop+W=fEnDG@P>+FM#HLe1E-M(n*sq`!QB|GF-JaJ}Ej>viAH=i?C~S@v-` z*LQZ?u{OedG-ugHKQpH4d$Al?lB}NXW7Cm8?C(EasWm;*Mi6og?AC zI^oIaQo_ndHFd=`m*e{4CL@I$O8eICVhL<6l_+CvO5C0Tui_GfY_!6%ZBU|uqILZ* zOfuzK3L!bDg+Zqa#shmQJ72FNsFe_2;!sPH_u|fzvB>#4J1tP|4Jyx79hpt4_t+9L z=WuDfC*rf=pI=q&5 z|1)D)@W!&#aKu&BOU^LJs=}?KJy6~!)UR|>V@}}8AFWh08fo{32B^MRMSaC$Jgc1# z*3dt9&GGTFzQ&?@{yy^Do22f;*<Irjn2tP3W{t?O&&*?*iL!H9p5XuqvImoKpHtP74wR9uF4zda_k^ z)vejNtu)qNqI4vR(vn;g2OZvux(W}--pgwFRfLTR$a{`sQxMfwZ5 zCsHd)78S(cpaJF11LFpuVeqR)!nu78VxC9k^6^DPtjX}n3@*OT?&Orc+CW&@&(C*q zUbDje%p}K;&ct$9e(JD$5S%DeEVZjSTSz_mQhyD4iQWu{yhC4?sjI0wvQYE*80$5_ z3rij;p4KreTxnMA`dZ}=%?hCmExiiSi;EP*O#IG6nkKn+rdZ@lS%RlY>a61MM0L?f z_d}3*;%VDsV74})*2ASW#Y>?Am@&rD_yGM<;=|HSN z{i^NjGQro@D)T}5(14^(CwBQw2-{XztK!7dlW76Ch%G(E>GY{eHfyg+z8;fPqd((W_&VLqB1s zBY7^xdpch?!<@oA{eKp_40z~(T)Nq>YE2{#C_nnss>$j-atU4e6Tt)mIh+E^{d=_VBxNn1F z+1Tbv=t<^UwHB2V!=n0N_AP#^y|B@1wY;BTc$T16Dg<;L8RN6sqgu(Co%7%kd69 zR)vesC++f)Jz*4<(KW)G1pHWQxs+jwj}P3y&8of;Z(t5d2%)VZGad9`zipp7I+t#? zmJ6Kh8|4$$y3I1!Tm0YVUQ0p~J_x(1M|O}4Pun>9i#ERqmv4s20xju7(^m-tv*2l{ z;iKl6CN3fZF`cyCp zj0P6=<(`@$`VeT6b}gbI+~dq6=l#L#Dit6V)DZ6^IOh4({p0|6D|aBQ+E`Tpb<*kS zh4714!%y?4*%92XeT!taX>x;$oU#^H(+iu#G*gh*m@9Z1maR-UDk`P4Q+k(wUh9Qlj+Fy%C3{A zfXFV~{A4NWnK{;ch5{gsj;w86OIsp11Dq#Z#&jQgGP>jffKkDPGZm7uJBzdwnwOhl zHQW9!8I_=thHT3e>-_+c*Rk%@y_+2nK0;9waZ>oy^u@Pqf zn(hGev1;RFO74s0Yr^tJPDnZ@*whK@J27Z+fjpAEQk84QG7O#h<`)AdA)qow%Mg%qIWI5HSRj+mEdLJZkeR`^LFr8?k6>L7QjY9zWS+_Wt+$hnMC2ZQ8+dfuV1O0&wfvr;#R~#GuCn~Ol zU>w*_us~)m!S)arsCDI*>zR0H*FgaJDyUV4a@;XfBCrKE9qWO5LJXI&78*@mltr<< zxL?^ye`wGUUYiBAndF4Az+=E1XDGQrN%}-}F#C8(0wTk6u@&#Aabv6TRukxS^; zsbwZNVf*L&vM>^Cu+kR z4YC)hGLk}Hy0 zevBW{by#$tvZe5OYEmsV^K+;!NH#qz@{#hkZGIj&6SkBSfY%F1#j1jPmKN0HaJYDdR!L)W%0``1yti5TVH)&1rZD{p-PE)oLX+Sr zKvJ@0vCI)M%@wT$Twcy+$ zUNTHfN0cY2^iUz2!%qlxQ{muz4aY&=GbjpQH@{dK`7T!-WW>x2!Yf@W~-opoQvfL^|O(JdY*wMaViV|C*2xg zdYxDQP`p*!XyR<;0H^73_weC%^SaT`{^SC-Dn&X`pmT3pjrJJ*z7 zmy3heiFH|yApP!waUxFQ5(N`N(r+0{fj#}>*UH98kBFg%3qZxr@&eeeEh;oFK6D8# zr5ezCwh~i_l1MF^y*c9_G@v_;1tzvO`udv9at)j}Tg{s(3$ODq*UsDLZ#TG@+UDO{ z5w4~)Qf^4{KNs$|JXr*<4^87NcBgD+_F%o^7X$;CDVIQw`Z+A zW!bY+7l>cN0}ATt2`mgu$(Ul#RGU|frAjO6EV`%=aW7wW=`k`agR1nSZwzAEj&d>| z7!R2HjTUY&VL$G($g@KF4m${L@igr<;V5>C4MvV1?z)TQe)pE6(gc8<+#BOE0zGl= zs?vd~?oC}WeG5*eDFO=G^fdR23q=8ZGuB`3>6$SEQ+Z{LI`4p~lzSn|uM>*X9yJ8AvJZFY|qxJ0>E#ZrPV@;u^gP_>hkFE(i6=TXpbsTA9W@}PU zXLhL-Nn?@iUc)u%&vaDeymdr_nz7M93&^KoHu-vIbE=EDdDBy#IZDJSjsvPab2?LEy# z1DUj&G>^3PSzj8a$jRU5Ugi_2)ky&JrrdeTW-7>+@~}_u3y@`)PCpGVqNxqu`M20U zOfHemJI$)YB?iZ0bcac&Mp-{PmdYJ9du~*v{NUxghnc1>wH7*(1@4^PF7yvXTtJ^t zF2Q0l4|Sc8e~ZKb&2~QZ_Rw@s#TlCXvn_tiz%QtmoZ_W8rBPSroUfOfW?N#QrB3V< z8T|RRElK&Gxwxto9fDGs3|u~q?k(>*=&4lYdj6VB{d zEmRgY{4FF9FP)JcSKu%6`oBx}rPmdD>@2t+#-lR4^~!&}a!ZNJll$!vZ{djE0xM*l zYh)q+ci2Xo9JI}yEoHMlP}~VNDp&P4Fj_;5?kS}1cT=PHt7_Veol*4i{u4N(WR()T zFlUam$`-%*tDI{CpNvRR!pRAQt1BCUxa&JtuN?rnDrvc*R`5uDh|_D{hHlfGWtreX zIsE4nlcX=s>gkp3BcpEu8=Dh;p9k@ewo9MdEN@0>3!pqz49^gi!{d(*#tu36F9N(k zXI7}ksSu|MOCFteF#vKAOKbnrKeHDaORN2M(=O*2x_|0F%X9P%Y`T6N)n?q5@RSNd zPAs>bwdCE?weYx|>zvDMwfC3)mm1r`Z;dHJNd*ZVgc*nws+*)gXhSUSWXGN7OMFg5 zOcxOhuW{TWlmK%0C3s*W5`@%~bfFH1^Eis-(4svL>O=%je)h+1cPJk`n} zU7m4{Kptvzhpp8gr`~j>%Ihh9me}M3x@Zv3@u9Z7^fW?5*P!)nIgrI+koukW%7q}F zmNO7|@c(M|H=>Ut^DnTzg4eBuC_#}*MZVCPUCH@bY%rwk((>?q^5pWpT}4~r4eF%% z`eOj`)E0lXJ15<8BISD(p7U%%-GpqPDv4$44=kD3X^ki|Mm9Jf%aU_L>s*ruvCVfB z*IF~bu85sFFU~NyspU^`_HNOn0<1RKZG;96|b%MDTu+xpz(LM1N5D;r)AkW=xfCP zq5a2K)aN_l#hT`ecOn-ys07))MSsC%`lmq>jRj|W>jJEVpkU(6g;ER8Qqo;g^I6>r z*fhsUsR_7HcvOqprDZ`htxI=Wn=~1mmPd}gM*S_KwTy5nwXB1tL;3BgM>Eiw%2m)9 z+4fz)+A?~3O$(d63_Ya!H0%;tch@>$LtDRBO(+vZ$SvrsUE^Tj{40P|lYK#T;pCJZ zsMA`%0c0_*3?#qkL76Nx95Ee{s7h9)>t=!?Ob&d#YjwLaB!dpTI7W^N>G4JU?Z3rg zfplBfruNtaAh8GY)F%;ytejX+mloZhfn%-?)}(UfP2j!MpMTufOIG^UbZRT(IM5T} zEVzBMEaqwvyZ|*tuAE)vq1H?aUVE67cr+Q!Xk!}9m)|R^4alX zCy~O?RJWlMY%EgKK$p?__KZI)XJJ3cFCE%@O$PDiJvI0~1m~G^UYSh8sq;@9=UcSE{Q2|BF1=1kp;P7YbJ)(&T<*j~LkPJ%Y6!fs zD4fUrBMWBKvDcJ4aEJ?2nB0KGs9UHiQJG28914WCAucM5?pP6;Z!(WG4&l)lr~K2- zQ%5f|z6Ljg8t48LGeQe1i%Je{8tFRJj08Z0xRedPscAT7V8fJ`lcyt~aifurps5XG z*b#o@ZGRxOE!l>-QdN|8LC)@F?vr?KZ$7n7M-x`i2A%hmny>l)(D)CTT{f-2>)bjF}zFe<6QX&0$f$JF>L zo@y&*(A!87o8Jl`Djy=|gB4{XRR~LKMkI#EfrQbeGq6{`Q*JIrPb_koTo}lpOP^J@ z8aUu5o2(+z==GwK3kM8al0<~^rb4DoRtXQ-ou&0<74K8Yx8=J9KH04ar(=kh{% zI-^EavvxVFi~Eh_C0eX@t24x!oL7)X6ulW$Wopvk&^oEgdE)2F&yV-KXe;JbjI^9) z*Y=!FLSj2L5bfzBhkD!z2FWr8dqYY+VbzNLX-D$G6r5OO)W!eC!%ZA<%f9d55BkWL zj;E5g17z3t^A^#1tLr$ zdzo?G8IW}nX_-4qUmh-d8F7Fp4Mlb|)q!eGyr)lQN<>>t=#O{P65*CwcOq6H+1*VPDgrLxvs30orJ-iI+pt4Jr6xTjP=x> zUo=RPw2-T2Rc1LJ;1x9U&7SAW>Y z@T!&fB5f_!*ZO>cis{U=?;TOXx7rdAEv{XZ^kD|6o4TRYKMW&>Gq%gjdB%SJa z-(!!%glWt9X1a5j%r`$z(nB-txO5Q2YeIYx88(bx}u*8T@AQ3#k3M}%#wLy+Bhdv zsyP04{nO{{1JF;pRob1Im0$YRZyQ9+l>}@gr^i>UD@Ia}idSkSCh2Q0y>_pcsdH>) zQs!<^SOli;EZa?U6HE#n?Wm^$E~)XE(>YkxAvSFi!m|k}_ek|Et1WEdd2KjJfA)u_ zqup#-UQG0%fR}8oVvRh%xmr3YBjZyhN1s|u)gr&M%0Ph@E)Ix=M4QJ+b{~O)?rnqS8^J+A2BZCZ@?_c>M zj&EWHMF>v_75*$2Imz0usC~SExl%MfRt`%x`*3X?jGBISrjB$r>;bNBb2hs-sz#W- zPo6LPP**w&jIUrs1TLmCGIq)il6;W@vp$zqi(CVvo)omNq@IyYj)P+O~C;e+}zLlY5wOF8?lqK?RAQug-SD8=NkJ^2b+`jjZ4%#rV6Xc@CyI zsgd%2%~#J*vyb_nR=(^ytj)UJ@ic}n|8^mY`Vqobf!BGP(tb3D*M8ko^-A>N+8wjM z5cj9r;N+2K??We?)SYsZ&T+H@ghV@RxL4Eas~@R_sZMw^iiJXdoc^z)fhGAc8zpSj z9h2`Xp2>Q%!>2=WjSVNefoiVkfuVU#>?L;>40l+htj1mOG(Ig4DKB2;$4PZAs8e-GGSEZb2Iw(XJAzXWVPx3w4hSP*SG{)-g0Me@RLb*RH{tOGMcWt z!!)u)7m(#OT`(#}BNYl&APFZRVpL5_aOq*;sx9Xo3d;wB>+xV%Spv!QBn~1pW35O$ zX?y;?MD9p6B?0VMh%!b_r(7gO$}e&Wo8jV=g$_Jrq;1U86g6s=G=Nvsay;XK_*YMo zRl>8)nMjyIp0og(YqqEnzIIu+ICf-|Q^_sg0&#k;(bcJG3Lah$p08A3Nj=@g=V&~< zW;h%@l?({V=AuNkSYt4An}$46xpdZ)zTq)T9o74RRD+tdMoA%rCeTt)dT0I-uI3ua zRO!2^6Z*O$VSA)x z1b$ZB8KQbd5k6tn9C^EJ8OtK!HOtBWXb3v5KkZR~-0K++0PG)E5U(QJhYm?lRaM}z z>q^+G`Pd@eyY&|v0rrmNes~at?(&)zr*WSY+*RS(2MlF4;Zv2s1Lh@s;Q8clr z?35v7$zud#7>6Fts@r zeZa+fJjMA?c-c0|B^gl|_^WxkluGOC6LS#ck!F56#2?a`c*eP|+?hW9rgu-$Du|gV zDuUh?N(E&HCjWbxcv-RG^=nkyfV-q@o~RV&^D|s6GtCZeGAePRrr0UX9-1wkJ?5sK zTL_PM;)<;mo`JSW9wt&rOS2Q;D>mTea)cmMMLHre`rLx z1Ov%-3~z34x0aO~>leGNkIH_Ke>9*oL%u?0CaijO&Q{nN!ii=XC_x>)YP7)OyrQm} zy6VNydq0k=kdKi@vDWeICzrek`iTwWd&>kO1nV|+Ev_NlL3v2nsFL7tFzx)Huma;K zF1o7Q9-2p%2!&Hkz+#vuhQAuKKpEwqm~Oc_s+j$Ec1ObS9=ixYP}cB5*+r;BTVF2B zD4i`{-{b6NNx=g%!RHnh-k0aUge`4IiZ9@3%79W?Q2&x;I8xIeMP1R!G&x@viI6)Ty#Ltj=0`ba84^TzP2KKx5~5)^153qRoOw17e); z>i?!1isX_#t_Q9^bJ@p*o=(Z?k$^fnaGgQ}Nt={lz;m7d?(~-S;I++!w4BXo@4ujV zImPf)CHwBfPaAz*wpyQc;JU-{uLYxH`t&_$y1u%5ivR>-Ib8*4{`0xjBmQC-{#bj) zMnvCC=eZA^i^T(@zjRFjTB(meJsta!^yDv~VK&n(n>3?1nbez}&i+jQjTy+kEU?$n zp)>v|;ErSdAKg8NdxqKn*vG6Ye5j2pc_aYjwG|YnyY*W?PEMZBX8La-QC{X0fseoE zZoV^(d-h-a8O%LZ?m23rz#9U5tan&<-;=WT4#QmRqF4c0XZRLOnzL?T}<62X? zCon#+c(Z&#N=}hl;WrnCznL?0#y|g{nw#gqNs#>S{chJEgYEF?$+}_uQ*YmW;}`|t zY7zHSQjPh+yfR~r^0~b12u?^5IK|U>SC3z)KY<8Wc%{iHTpp>pk)V)Z8E+)0rtaRb zQE@MG=b#s&k$3{}MW~8eRJrWWo;x~*-*N|^FAbFUUn#!$DJuHcvqCRv)o7#_W5Ij&8%3MMo$ zJFv+)aik9M>OhLV|Nb@FBWtc^&`(hwrwlDGATzM%xdJE0AeUJx;>fz8grMva0P6N; zqh9=^b#vB(n#DO?$_*Oo{bTn<>bGW#mNz*sFOYM7N&8&9Xpipj!!5s>Jm_%e%}xGZ z-L|&s4?M3Xzv>LDek}Cr4EuM`hA!D$zjqQKbDcJ>9XpBJFp-CZ%PCY-{CXrRGWR4`C_!&bX63rlI-4`#TNCR@lCeTQjOVE( zZtSu>RORaN9~#W&23C6wDM?zCEjVdX*O+=MT~ln$Q>y-DQrMVN##s^H7zdmklj;40 z@(=EUnI39%R3N{3Y}JNG?yBKeyXoYv37-4dL-B%0#bFQVxeqszp>`G*{~)-{N4#d{ z{I2KYr0?C)En=$0n-^C%{Y{zry?>>$_xyds+61+L8h+8mSJsz zoL1rkgRB%Yg&srG!Uz_D)pr9>broUwSI^hz8ea#Qbu!3~1YIX5BTSWt){#aK&Pm6@ zJXQ~T1K0N}Q%hSR)H#rG%I>0sUD7;lQC-pssHR6$(TCvC0E}4_KUOb~S6}a<0L&pA zn>E>R|BrTa`mtnRgPtJ}$tXyE!o1$jQ(nQF5)sj%CFNi@M=x!9wp z?jxQ5mBy)Sne+Z+DTpo(rK-j-%7G9TC|LeQ`e+%LR>EfOF z64yNF*pi*rj$ZlkaWD%hKBfwry1#mxel~yd)V{rA?wPFjm}$kxbXKp`@b??fyKcWt zxMlHL^pSj~srHP8p=_MHLB_O*yW-QZXgHJ1@QhXpIDKMR#rRz&)A^15ykxz}! zyAKHWko~z8Wvo=No@yGy*8ni{d>ZdKmy<_%eYtq(HdKp!;`mUCsv9nGuMP`p z>r@pe$S$rYRDkFz&F8{HoVqg};FI6x2sG%LdURv@LW1x^^C+JDhd};&&&M%d$%_zc zN>MW3Ctq6h>x-5`${3}0o-)1KFAH-iC@C)6o0AG|1W25No(S?XO)aHE@BJ@-!m~J= zp;K$(GxV>~5|Q16HClPzLvbgyTkSdZ;^gZb5T% z_mgro4xZ_wRt7PEb3HR^-t~hj*t0ES6gxDxC#FjIu&p6qVNU$FOCcO$qx3w@J#pqA zK_*UHL#7*d1m#4!0_{dnE-oqdKh$ZDyVIo^wr9#mY-Z{a>Mqs{Btp>E^d**eUR+9p zag&%4k>`*pbUc6wnovb7k2fcvu)@Js;pZjFC4q)5$luiifKIp<&ufXWtGt zB7)6g4hQMPXH`EZ?+$(FuZRdaQK|fm>#x+`m_kY3N@Y7X;Z*%~lvYj5p2>!|%%z$8 zQbSaxCKsGl7ilN6hJPW9H0vK&q;sEPlc2J1#7@$>y;nNGI3W9Doo zz@PY4Q%FM;{g7YqGx{H|C95|h9x(Tln~xYiq3^ffHl=;g#NPMn00rRC1!y)hlyk`=Sh zkhIz5y&RBM49cL**oV9*s+WP>n>o4SFIY_mU*0BYhDMl(Kw;`(M)Pf30LGnkonRHs z?A=pJh zsGkYV`=anpoahZ&Uj3Ble+mTo?78lIkYt)7Fu$hJ)v*!z!1Vlcrguhst$uBH?d?~4 zqN}pr-f7`yW>sC;9H0A60QDb-KWEFq%<6PKEhF~&{(IDp z;J?#_>T8sHyfSxtvH>FAgj>#E3UH-&N?O6iB&+jwLsvsUWU<`Tq6F9&+Vt| zPiKDV+zp%;OOJ%pE5nvOj3%D~1Fi!0f`rz+=GGW<)E;J(74%S>K5fdl zgpzMx_{yo`X1MZkuGPihykrYu`tVGO{V&fp@uOWx{{%MkiJmUCCwR;JwKt-VbM{0b zQUCY`SazvAv$uGS6aB`s4FxWecjx`9@T06stgO(0Lh6?-L}dPtfvy>?qn&{nv%>hd zf5$=p*Qb3ScbE1@RaTgDLHd!vWkFf?-;Ac+-v?iib!#7!IQ@lt7~aqgs&Dh<2|8XF zd%geW{1C43VAF(0(_SbwqXkf{SvJqnJLYp{e+KwNvvif`XJz<3tg<|^dXMsR^I2gb zl?03P2@jCQz$~XoMXG6$imSL{p!CP)H@Z3Y-Kmn)du_F*{F$dhRD~>&OKA|Lit%}~ z1Mc}Xcm46G#zM44!Rxl2um>D{o1>9kwdhL?NAKj1qqzQuK;EuuIvWv=LQ%tF&S?V~ z-Q>S@g-(T(`V%#mIo`D22MfRY7WOz^Hi3P3>@HaBDQ7RY_$Q@2^RC3|74ao2iR=?; zKBwp@^G<>Jd$L%~;wA4#aRF{X}^20%bSbPSW@ zda99@cwKGOVqb8;(9j~_I8t)VdbqU#(>Iq5yFdQg^JyU=Ez3as8sv<4M)vZ*NVt43 zt_5KmR;6Z;*Fa`PXwLK$Yfp23R6ZE>1$)n_hO?cG(4#<3GDbbFvlKP?RoNz50cs-j z@oy|(PL%!Y3)DKr^5R=u#7)Yt{Nr zL6{HVV&{>T$**5d`X61IP~x&7tFtcPR$oU!RjD8&_~ondpu_Iuus3HN4+7GVg|Ex8 zBjQTgUr*FaR&sT{n{$pvLnH3xcc?^~nc@*Hmd?g^uLigL!yM9_bQ-EAkq=0b)f+)y zevhWrPOq>EjT$YdHqZ)Ebb3mu-)A0i)7$&ek(;|&XP`;#X%FsVy} zgI$%Zb1Gsovfv^D2(}Uq~#6Fa0>LA6K7&%OqKYVKAQav$5 zf;{Y3#*W$XOLVnYI=h%f+ryS;Lc$7=f4;c1KFfORiz*?6QFCe+e*6H!UPEK>`t=PY zyV6{=9ck#Ns=uRf(S90Q`0J>`>TcTC{H+ykL67;r`~?`d(ljdxh%7z?k#b!v?+a)l?jHTp3#Jf_O@N(?#7iO75Gs ztTeW|9!3<0!b;Q=hL8JAP~AYsv8wVpu(Cve#ZrW8k~pbNeKF|*ev;Y2rD1RRk^2pu zi#0e0nfXZCRq&C?uIzlf)>1*|@Y{79oIb$wh=?zS=QvA_^d7EspURze(3zd5wGAnT zq^}$jn{efUdhf`OVVKRTO)wxJ@sS^1Sai_RJSY1UPAZ$Pnc{nG9E?C=K@z1CcgcN)HH7b z@*fVVs_}qRRnok!#*ARHd1vzd{o5T3di?bbB6_Wk0sC(1)`$*x`{LWJ#V2j7CfR3^ z^FRaox(IIf1`vvpdBH7uS-ZhuZ5y6(a}((8+D5P{(cP^xl6GFT8|nmpHRu6^PYufZ zAE#BcjZ1B}sgv{ON7Vl%E5?Jf2`&SI*6XVQ&B2Y9CNXWHzlnRN>L|?NmEVXuL{&C- z)pVlOm*;wxvuckhD1zxXY6g|;0@sE*DJHv&C7ToCv;ID6xaF2O44>cek3| z>YvRUG*~v30?$(&+lCye<07>M>R#ze^R>r8jdP9z_Gs74diLYUPB=6TdQZ!s0*+oz z5A#G;ic{MQZmXvnsf-M+dFO6T4#)LC;L*>HPSxo7 zt^LPe6}2s&A7RBCsBvCBTze87Kz1wZcHg5WHDj)k#yzWsMe)4Ft;%Ibjf2U9F@c5o zz=FN6rxvKF(Qlq3Fk`a33X8JQx8`%Y#o=SUkMpCc-B%^x{r>tLEMgdcMoNa}m@sGy z9QK!OhUm4Jlb#6!g6i6>-S&50A=iaFPA1O=VITai`qjf?5Bd)}f+v~*yLx9?*5{PH z>$WHj+3qduN1l&IqQyXahW-u8Dc}jB&D*|&q~I21QtThqZhsW8Om8>D9wcc+*ZM_v z^EB-3!2E88gRc~N=*|1&P6@8fck!7e~jq zDdNUC14_XD)3e~~8?YYVj4Q7b7&Y1iy;CYR3Ngx^zR((@TEpld!XvG{In|K7Tb}rRF2%{dTNA4$z?=+yY;@c zMv9YJn8!KKlau{84d#R<#M=QuGNOi7#U~^h;I>>UQUgT0#!!AB-$u@bA zq&}MAQvpf=ZxS)0j?$Q$W~6gPZkN=hqfjUMOUZ3VFID+=!3hA{y0Klvd@k-BMQaJW z83qP}%v$!s_RAWSLa0ZPO7F|cAD89_YnW~|FGah_Vrrh?sEHV}yAl@sho)xpP}&=K zg&TToNx8gcPOKzR^vcO<7apihJNHdFCEoY+T)VKazNS2bkhE2VOceDadpd~}saAuP zq6U#ar`|Mk(cc>6yOd9m4UKSFMs3QL!D-#KJ)&ua9AfIX+?_k+3Jj34@= z@7-x0axuDR$T>VP7Q9vWxjw1a`Gx#JtE{V!WY?e>y=%7f%B&wA5z}zFgi)Nbqbq=Ke!-uD&z$E;3^~a^XEHZylV0;Q%dps^nCx z>~|W}?ObO=BW$DY68_MzUyJwGXr)*^9(?!WRvcXXt-#d1_wHN*Kl7gs7yI01S}jZw z*H26Mn$KZCm&T__pHg6BapS9ijwl_&KbL$GH9`qy{JLvKX_7^zLX5n+=ATwn2)`bs zR=s<)d#g+6L%EQdmL$!;!)NKlzq)v30Zja_EfPK{|K$?*?s@gi|7r7a##P~&3oL{_ zGPCGUz56FcVE5*`Cx$8i;RUj&%5H$x^AFC3;J+R|yek&xs=J~U`Cb3>!+(YFTpvE< zOX-)22>oZoMDyi~Eo&vFnkR;H(c9L# zcbiIKb9oX`C=nsw{ysgImmgTqIJ6Qq2R|g|Pd`COi1ob?B${#-0O-2XkBZ)`I=HyW z(0=!P<36qdS(4#fu$M`de;b%!+VgynyX;+|uHgsTkAZeKV+cGQN1R4)Q3AL|6Ci?N z@|DDf;8TFcOWMCG=W--U_-*8<@rYtw?U!h|A;uC-k-qVn^va;RnQIfVA=$&C_p{+@!F*k1O`Ln34WCv2x+oR1^_6Bhx1k%=Y?LF~C?*qYKSxb7S@pPvp z&y}nAaMjrG=9Gfh=;rT{o7n=3BW!Y%0NjBm2j2 z>X86Tgup-b9c(KgGc$PF=A1=@e~`FK1+_onbTC_l z)y}geSRJ;5*neIi17pQ9;MPe(ZM?#DJ^QhnVo4Cp)-oADdN?;11oSk{c~raIpjziP zPa5iH)fxjroH7g3%6z_oVXQ%>=!MHG4P!B%!>4P-$qQ+T(Vyngmn5{)n7Gm z?|2h0yp*ohL4{<)R;69VvJX;zOb`SBDg7OFksTUTkJzn{?`kbBA4+Bp**iTgD~h^X zMve;w$;49za7m)N{>jP!b?5j9i~0G00r^09ttwK$iEU=(8iDax4S?+nJ|?2gb~Yv3 zN~DzZG}bSiFVtxN(9|0&;p+418v23%2PK_Duc0>`Y}u**bZG|Nva?OhHkZ8hdOO(f z4^74pT5q+#(}0?#blI#h33*W1ScwjfzY++w+fRYfyPAQfm8yIVlwaZDk3AsfXvmf* zMJKN^FZK{u;;!+alHTWbrJvCFNKDGMj5i{joYF)@T}Kq5W|(yeyVO<^#!fJ}hD7FM`$U^A_%A#%r_AD=9(*uOO6H(^#Y;87Q8WzRncq+*O%sxhupUu{!*DijD zHA=L;3dTf+S+X@MM%sB^(~VIcHH%^zN<5tvXN(_!Ohq5I7qg@O z4V+PW212EixqmM<1XrHaob#?oN?=2*F_FILY}UOB2W&*O!*ur-jXMt3r>BW0En%Sr z$nC{zh8hZsQmPk^{lxVciWOICWL{a9NF3VC7Pt&9IIK@?khIFItdBG#nbILt41_uhtgi*!qIdMS>Lm2E=oDi39kN!Z?ygsQ#k?D96lP>kdo6#cAk z9@$n<{x+Io+t+hM6o#EeVG$?E;b`3AWhLP|rZnDY-Wuz7{eZkbPA?^f?rVf6 z)=keYnVI@BO+VUYfosT8M{4G{>ge`LWdor!s2?71wQykpcg)7tv0~l)%X-`pC-!F- z!oQZW$~w?8A(g3iLIOD}=#p?sgv1^z7LwyH2E(k8W2MNeUs*d%%;u!VL_2>{8%&W0 zPn%dfExdZ_vLe@Dtn#aB-l(=7Fhgt~Q{QW4bh&~8!EeqePS^kkWu;Rnsseff?dBPz zUzFx~@cpMml!*Z97K#mLk&A0<5V^XlA%stMEXLUgr+;h4`Cv;}!aTB9n%cc8K)xBl2Jk5|WnW9y7hMS;wx$F_V|Xn;&I zal0PWH9&5R)WWB-A z$uy{ifRZ`md|+@jV@#myuLfiD(Z<;o&lou92l5ewc{KF=_qJJH5Zg6-?KrLAq6-SK z8Pr8xch_?6i_2&WAf-_hwp`1hudenU+8|`!Y=a)>7!u{7mfT3PF3*k5b}JyWT$scb z1hxqq{XE5)FqR3Vn)Rm|4N2(%sgH?5#yS9ze@i+k4?Cw)qvxcObq zoO#WVVy$uJrHd?HA1D6TpkX77dLS8KP~22tNMSp&kukOqRb@pWHX)H zm?cM-{5)~*Vf=5f3q>2u{E+X3T*9sQ>onSi`T~h=Ud%moceE8AdeiCHdI}|0%Q|*s ziT{S!mOOe{pq1WC;l&lz);$s!Ymp<6voPdj=FMEF{qH<<>HAGm;x(gnRv38bPMF{$ zAtL+#1L{B(zeT2!%Lx4s9Nb*Cr|Cy{yk=Q6F?=;^+48@i`W*T3-yTeS0}sz-5<)d34=7JXA31Gb)x@Mq8AUP1DDgF?Ov; z`x^Lpp;DB;WYW1ww&e8qoSpN_*>9WmKW{~(P>PIFj8dq^DJZ4vqPU||ep=b^;r{@K zdfyp%dldR?dT;iwn+EK9T#8Py#Z!jds@$=t-9k}KCYA9^+cjSbykFORmDKf^x<-yJ z8N8hx`SX-yrx;{u-6setbgOrJI`3=0>-yvVg-QPaGd|w3+lSuyXU0E)IQrj6T`yIi zBx&Kv=f<4(;2u-3)Ac%uY8;3-ytFlffy>y?#??DVO$3Ca3ZO3j`7(ppZo?(2)^*d@NlyLo?K5?zC8^QQk z^yp3P>U!Oh?w-W!(I%4P-*wrxi}1VYv7DOf8pN7aURr7McX6(G>~_@I9$@~@AEEAW zw^;lyF-bXM>spv)S58>q<4sbv8Aff_f3K79aefzC*z+F0&2YbF$hq~e_Lo+^&+=#V z5?Ox3jLQ04N4~g2P55i-ls3!6T7=_rgd>7`H?>=vdcHSyb6DH2e#ehL!$(}yzxMOT zCX;f6Gj7P!zwacn#?oAOJK9KX4fOcdzjC-wOZZ|!Q@ux6&5>1ZjtiTA{&OQ$_e}a% zf`1O*4(Q(O(9f=$NjYbp*xL0_$4l4UokOu2w5ZqZ@$riCuTb!>!k(R>QI3;Er^2I7 zE;!Sg+gpFUp6RBkJHF`l^o1!4ak(**a59_?ld(w<)k z2e3*exXB*kh8&fNrZz<8RU4P6AcrDT=7+MmBCV3p_Zg+aKQ+D~$%n>$4oam2^e}`s zDE)agTPMA~X{o_K_s4IYX%Erjl~F=f>9Gf8OkN*u&j1 zBAicF(fm~Dvx=N`+E%2uC+FkryT9J_KSK|WbaF|@Ri|oQn$3@&%j!41r|l*RHhv=U zrjK%m?OJWmw0!(`{5M^TQRBT7KYv%Ig7=neX@4EXtiNWtF23jEV)1^GYU8QX$$PBW zzyAQU#m(^-r^W4-w@Vo$n^M$cjazG-(@VYa-?KBZuCeTi6DXdtz9w|`_QPMIoRYT~ z>TpU`?$XHGUvu=d{wmYU_jBNt_S>5q@9k0_E3JO54{7+hyk(?=+dWPQ%f8o|3D@Pi z&(GIsjbML1x|h!1iLuQ* zog*GJ+i;AqY8Ms4a;|Ig<z?;hrN9X@PytLf6lG5g7>JHN<3atp@4pnF$y z;h#FXm@f_W1v~nd6&@R@dC+Ov?OgZnn@2G9?;LpdO5vU0)#Q@6#xEQvjr-goCtdwd zl>AirmhnDqK20ZD)8vMqJ6}W6yh=|SO*qb-ZIV|M``5XiUZl%1uWPz~NM^tA_J%47 z3La{YH@kLO$;sa-$+suZuVuma^$t#8{5<-b%g`d*`eCZnM-*%8gdCK%&HBB~wf_JM ziu5{)j@&=Se?s{bXSmffJ*I$j4aBEq5XBD3+9nvWO$1~?O$l3&(=$w`5j`qQRz#UG zLD*|zWXP6_`$}UaGSozi1}Y0LgNFl6rfHUf2&WPxijy;v5_TlS&?W^|AtLsW1U6{I z@e;(OO%|d^Acmw&#Sl2rNTg=bNr=$Z45Y$jqEt&s69Y*iTFzk~xibV*l#xIrfepne z1rjiJnX#${Nfw06TZ%-H7F}y!}G^0GIxXYSFxP7@RBRj0)jA{mvjrSCl5=v;z z%&EYiFe+)CHjPU^k|Yi-LeRGs&9mu7cdU~=?l@jU#m zaaginptcQCJIKW^V4VKN{zOR{32e0?G%=SvwTovq{Pt&9x>lNXZaqUz>bqK%SKYe) z2T4W^oY(WEk9E7RhKYeiaD4#xslb9Ad!6u0WPc!sLu`hVnkBGcq-MglCdq6!6*{XRr!o^Ag4L-2(guJH!%-y{xK5@+li*S^m6-~l ziIj3PShHUT!qO@rm^4(u85&C=l>=mvDU%mv(VT-q82DY8g% z8|7#;N#7MZXlSJ2)iUqn7BZ+WBvA7V?2B3*ju#zHEVHkv2 zR$mC(LA4XWMqGz!XgvZd_UvA$e$tG^0d;M zYu&t(jX&ak{67mVFK5+r`de5azd!Mv*BIEN9!&nk`?+t&&f7ttBm~dn)dCcH>C)+=&MP!P6f5eYB7vYVa%IDT2D}Ph{o>x_0N|sr#(VgnbiyP>19{S*o zXW?j+f4b`KQJ?$p_)K2IFp6KN*z+`>hd)V*iu3hSmub%8w|ApvNIUf2aD*pgBS-bqz(f93!Y4U5?K9{<_ zLx<%%!_j+}O~BtB(`$~-o2xyqqx>D|IBUx3`dGTJ_b_X{`o;6lcggOP#biPQ?Vm$! zc$!{=h99|y6hHFggn!W^U2j3bmX=Hya#v+MP?BEtx-b6!0AtEhElAq%@!_I`X+{uL z!l=b0y_F@$*(6kPSEBw#@&sitmYqK7Kh0nIiw=$n@d&TVDRcTG$fAu#r9>i^5lSoB zDp%_1dxl8;!hwy0||t zj{<3WcAgqtCMe;+CQXow z2_hRCk|IccV73iK2Wv$PjEq!j_&U-^jl-KEB7;_>HDsh{kXVCaOsW)OUj!s+1SE=x z^a~-IHOkEF${PqO#YRXeFxU;6Ct${q3ZEJhFE2jE~Zge7QTfkC`RM^+_!epRJ)&O zlnx#6$4p&Y$6Cz4R!u&O7ZR_dd=kfw)U7|OceAv;j$G}`o^?+S;Lv5?6(`3Z_dJ=h zG`Kd!R|zF&jgP70l%)vHGL#z+;w+5X( z(eAn9ll9Ym{SIdMnezFo(dzPbPJ^CUvrp^PXL1 zzA*DR{{Zf8RoR>e)_x*rweg<2uJsq1Q@omfpNBR_hjRVcyila4O-K96RC{mBpUd8_ zTh;XaR$52Xv^Xm!C{Dc0iN&a;7b{8KrzIu!?96`mD|(Gi5>DUID^-8&irApPeSeCrCiyyFT1$d)*Yq_bJ*S4c-Z^4fqa^XXYbu(2Y;oVa^4rPi zy&vM1J#I-Y4AyvKp4^j)ZVA3=OSAnA-ce)eQHf3_8XV1~eg6RFc@xZTD#*hEK|#c$?+*{ll||!e|G-I+I(%{$ZIsY z^x0Ez&5C&6J-0=q*RzYJzaxcySiU-FJUxS}^!;w7#yTxdS>aQ5=9_VFg@1|7T&g|W zXAW06%Y0($L397Uq>7hdt4pX^yO^q!Xl zo11gI>gw@MF@nqYTcVZrXQ}*1_-(H6j=x2%({(Jl^!k~sK3-{Cc^xUKK{Tfq8*y!2 zqQ0ljb@nF@%i2Cu^UGD@Znvm$Qh2hu-8s%yLIr@Q$L_sGGE;OY<#77J5$#Bo{L}mKbO;V65h9d zUsP5y?{#AQdI6eMckLPbJEG^kW+;%Awg z>1k~K9>Rt$N>NYLX@-qzqJBS%wGC;r?URr9Srw&p+*-9<+U~uN*K^?TdXAkqHA}R= zULW%-dQJiP0P2nPuCb3~Ok} zY5c1jqm{mOV_A9=jCV`>P7QZSQ-|+*U+3v(Q=G5!tETfZ^f+#D-PBUJuHU-uz3q27 za`8;Px^G8|>07vMC&xV3`Wi|q%rp^uV{N*MR{d#rexJbKBo3b5*;JrF+&C8DK_R9{ zqyAkeRnX#irfBQzqsN_N=14((3y>TzD=hiHqKbiC(gIn({X~E z_BDh(Ml2LGhe0-U#*r>N3Bt=!HH-@aEYb{})KrY;T8>dgG)qKJAZ2F>(k3tX64iz@ zbRC?93`Iz_keexz@!4+}=E)R&znPv`jxv9sr^w=>D#cXAMYw<|L~uiSDArOP8yQ0# z_ce?t&P=70&4r|qIU~Xd&dAG<&$gsxk(({^+;V|?YOxTJP9oYjBO#_EOEI%ZopR$? z6o$dW^dZ@KX0=S499Y^h;-JOB7RH&7c`?{S89?S~tfjOfT)7lQDIq1u#kjV3Mv$av z6f+`b*)WIP(V}+(@EEZ~ENPi%6=Fdq5oKEvU{sM-3loMct)`Ad?=`d`vI`c{)U?S& zz@ffM97ZuN;ftb0$hLxLU__Xk1}4Q|v7uQ;aLI{ClG+4|6;eQ$+a|!6keeJ4q^4xi zq>&8;2#2?9gQ$)sXS?pQ-4RA5e2R3te8LPeFZlS`LnztE&Y zfuvb8#Mr2ELQ*EpvJD(eo%#T$w5XDiE^qV{q)U(kLIRN6`w(?gJ*N z1Y}JyQD)S)kP{S8NeEO}*_>HP*$)QLLSqCN)~SFtDKRG4ZY3}rSh6J|nKA@uK-l(> zrY69d#EvPM*`i`_7^q-Nix5>Pn3FWvEuq>=fH)SUHYqd|6GBXulN6^wIxm4lgwaid zCDHkW?h<7r0MiqI>{chElts95LZZYg?j**8c@;r}C4-S- z)iNwB8IVZ{B7$$&mx2C*Y!eNH)l))j6B8JDba6K^7vgQI*p7IBVf=il@^& zW7M=+;T%{s&E$mbK{xx*oLsn;=V`6nRinD471;Q%#=n(*pVu;C&7aM~pAua}hd*}9Jh#U@SLOU{`Hsb;$CFE=Z$7^y9C1ey^A~DlWEPX$t-0^vt^S))M)Jx2qpQ5+h zYV3PwkNyvI{;7}3)@k9FZ|+I)IQyv0b5GdKmzVPS_#IB4IrxF|S<>{dgU6NXvgLn9 z9WwJK8W2ahZxJaYZ$N-9x)+&&Fi%K1L#T??z?)ZvC) zGE&EqDp8VlnrhemI6t2M06g9rj&BfX@MTqkYYLpB9kNPOQEPo%pEt*2`WN9}3E|P= zjvNtC#|zsP>ABneIsONy{B8Ilr0ZIDhfZ`GzQ-`_EWUoW` zRq}t(^bQ%8k?JziYbL3@%hFMlamjgUt|_RgDn8q&*5JUUDbF4#MJ>|FC}WIL?QPPp zvpFxI{G#}W3?82siDw-x?Rfm0lW~f6oE-9fFV)8!qu;r^`2(J(EVA_uwXD@jX+@|n zEjX|6&vf!{{H{NnHOATFh?(~M?}?ufblqdc9V1DlPgR3Ql36l79vQm*=q`6`YnQ`Z zS9?B#^R3~PFAnPGaF3SZJD2`$V-~$+xTbvz;XlidOz6tA*e@&P>N7txJM{Ww_=TrU zV^Ou<&)xX5@X|e3r|L8_mdNJD;H64iCEhNZ=bvY2rwf;S)4jNt#9tjVV(1+grb1JW zU4A@xa_Tqp2r2E`7MhpTpRFoKWc(rcbEN3{7~sU|B&U-uNh_S1xk<^=OYQ0Iaqd6E zi>c~#(T2OK!yD7Kw3zWlbK<*xls)kC=a*XmtGFvh>))QIZZmeox-V7{2g>TyMWt=^YF3 zqs3phXtdh2?mCQ7nzwY{?<@CG;PgJZ@|n}0P1I;K(2gnQgrc<)Te7DvZc}`|e}TS}wf^L>&0Q&7xbe-R_j2RUd3gMMGbX$KbEEkC^C#d4 zWbvHz`dC6(=c~cyVv5@xl5v)AF}G9Qa&BFz*P+@SH^qJ}>p3SJcwy3Xt|~3$ik4)W z=1}h`KeKV8^7TK59+xDRs$EZA9c-JHY_V`^cKJy)X;XLg539WY0L6In`$t;%!_fRc zqVm>k81ZSb#Yao0lxIECTtz}JgyrkPM=$jC)O>T)@oIl(csf>#G%_zT;~8v!{4L6| zWSaf&Wopm1_$%ZuqUoi(JaX&uZc^W{myLb zzlWb*>RN2m>YY!_)#8i&iXLOn38l-7oSb~P&XVJl`F)S*{PqyGShI>r~G&4)bUhcvB0&Qf;_sim=B?&VFwNvFrJe`$J-ImdJ*8Lvq~N>TnR zgXe!4ep`A^TaD`U>N%HfP8Aqu{G~L$xcYrb*QFff{!hBrgCrx-y6$*ld4IgemAK=_ zi_>f_d#1RHsH^YCVdKj2>ozylcD%B1cp9FmiV{k5gm1ExlyCC3tjEHdOt8U<8RVyy zFp8YlX~`;bU!QZ~Uo^ifnY!$>`Lw#pX*1wbgIlJt&M%e3B&v3*{hK=S3e?bzSem5wSLyDmy_)I5d9cvqX_e)hgi8bjs=fTbTD8f0A#UAZ;KkAn$J+7hDH@Z`vB zwHqEudj;z2o(QnBG{s?PY~+XLqr}M~;Ud<&cxyIGVUk^i54me8v7soH72JFfqAKzD35H*A$$(0O4mN92p?Ivv1M4Y&NeFB?$ z#%YxhXjtdT4%;Xoh~pw9>QXJM+C=f#)WUPF#X#Ilq!EEw>)5R+kk&$IP9}G(IPxrs zV+b0lo5~qS6&XTYo8`!ie6u#CQWjh#Y- z&{E1|RJJYFDIp{cHAuLtF)dHjMnz6uhH#NHmo_^kTE=D>W_c14OW4n9R#4=;Z}^wA z9x=sHmPDgSiY75A-Wk%#aT+2_u(VPhMrK`^!vkdP9^qIE+8ISzQGvXXViZeJFL5)F zV!b9{YY2m8N@Z>ajl|8P zR!pE8nWR;SxS`QXg%!z67DPToJ)|aW5j;jQyhRQpQ3h!q(M<;b0OAs0HZq~aB*bWF zY%ENr6C~jR<&3DrZeu*D#U5BVl%@({e1=w1f0(BdnPSygFo{w{^3)|FqXIh`ISCRA z6UZ&W30O29TK547*eRoHGs=imBUnVsaNL;)sR@;TkvsnY)Q?MOlB{%1AtCvKa9 zu=o9m?nzsO<~E6NDveHtIcxP=0k6|93%GEpvzpmEJ*D8Bg+#VpmW)Fd(YXVUV($4{Fzy9BEf(PF4CC#%!kl0Myis?5py={{Y9iGsXU)IdV5K$rm0| zz-*nwrV?T9DU2WUE>d8b86<5SW6k;+L7At(+cU~xX_6iP08^Vj7e!_9Z(7YQ&12GO z@#D2hJ(K&}cS^fi(eEc0=yTt~EU;c6>rjswYZRvrc!Zo~jk!N{B~iPnCq_Bm=k_>w zufE9?klH28+(){-3}t5c)sd1W@f;m@Ey~Oy=y8xO){PZD2~sL6+>&Ud!J(CmUc53> zDJ$*{CXs<2EaQl`A=z6CRqw)FLBNMG%P8whOlo#!<`8Br@9?#5MB`V_Vw)#8=8fEN8a)xaUGSo=g zYsZns>DW0E#?aZVhcJsKic%F z#W()|V+WFpltarLX%b}Y{${EIQU3t21k)bFSV(P=Wd-gG(oBq!C9GL0CYZrTkRD9c z$cYLvvt^O;m^(oT5d^l8JIDGQ&e9wkb%f z2n6Q-#BV7wTQpiEPng14C_%Zee)a-X3lk#OttNK>=S_O8!Q+T6OhzNa0M2`#3oBlggF5Z17T4m4HYEh z5`2}kTL_l_0ANyn!`Kc+utJoA!J)X4Bn+g4Wkl=}W1C|C0MwPZexlvjsUcA*5jh2w zv~qzI#g^nI#)#kqDjJC@mO2I|$s1!Oj>wxtv{NQ*f>~^76p1~4i)0`()3M!l3nZws zL)sfDGDlzfkmcaq3W^Qi=tkmR(<^1J4;^It-?`;tkg`i3@f@A@G?a|@5!A~mF@Nf5 z<|>g9zq}6NfRuBn>=|)4!4xi zF_4t_GsiFWC0T?&$ZfNTDz9H&8vLbH@ziKf^z&7*N^cG= z(v^4NC)?NTapixUm-45I?N=seCR!ZecVRdi{${xajz8)dWNH}Api?R3A}|HhSPYDL zN4P7V)0D`LQlyB-jNeACit&5FCQ8ZZI-+ZnIiu%GX>K6oJ%raU!W$_s^`cfp zQh)1ZjL4UeA0g)bfn+S~8(!7XJX5E&C67o$Cw9v&CJ_Ji|H}6lk3lkB;V1Gi7F>gh0s!>p#K2$CBn@-zD#lmY5Nk@oBn(lwfq824BIq> z2RMpRHB|6x{$ZJuV#y!roXJWhV~_O2k*{I2QlIE#qB}HGIw{ghQUwBb@-=+xMgIU} z88cj&3?|NaV{B+Q6N3FsqZ3kuxEaeCc{nBH6wbYcF6CIPlqWGMP?${0uOchg)YVNM zF5`G3L8Or*jEIamyCl)Tm@`Wyz?GUw6=P8YIMX>qQXb)C8`qF>LW>4ZZ_C)CR^&A% zWVw7BL_ReYdxm0Z1h#1fDPYi6cqKn4t)9_BWzb18_o9h^&b|eMb>q8z=c0 zN=-h+<1TEBy2ytT+=%@7Bz7ec(4_exrje(ZLt-3W8mGwRBRDb578GPYjiI49l4Pb`4mgI;^qCbY6p;*pOOe71R#F4KH_bEtvC}?ot zu`Fh4nE)`@G|T6-BG_Le5-djMJ;WhcX{&^W*)ba}6-q@mPT^SU8-rl>lG&0dbPNZe zHwMuTiLyv+Y=#KL$73`!Mo1+tLulLpro^HWMIvVjg_R~t1r8u0g{4Rm8bqcEG8Gjm zawcm)Ombw=Ng~vppxEUi7!yoRLA1T7g^5T_(M*|-MOH1sNO57LrQB_ULj)TIA)!tr zEhNc{FK9S|l@th41jL+J*oQ=f8F6H=Oq1}C$g-Tc;KYvR3MO0mH?d67dxTEX+!)e{ z+8o(Y4U16V7@4fsu^8jYrfX^<%CZKCr2*}r$36+1$!C40Y@LPd990thBz8Cd05dik z)f<<&QJOq$Mp#FgNhMsnNpZ{N{{R+#9xonf9Ja?-rfoK>&c>at=_e(0{{V=~7<)`& zCjxM0{Hd#7uc?lncnt6n^>OR)6oJ5%HNv~kWLhKN2f+@yK%l`5vYbHV24*Dg2vYIv^NS%lewH%Rq4>XeZZK|*X# zyYQ`qM7E6RndB5oMD8jkd3jwbWJYDj?i6U#Y!d}BsTGT074t=`VB^USMIpx_o0&6T za=kOFc+iO(V@{l+NfS&rmxq-I=YGG=fzXi6s0$r71q5TIWex*KfL zav{!&gA3YA5{LTO8 z5vv|F0=!RG>}=uvcPZol08>CO8A#F+6yRlSlgdKeL^2N|X0>@~8JejcB4)6i13TMf zDKj^d^AxO_6beLS$wfj)lEOc7cZ`udNTkf=146a$nS(1sXkcf6nq&3Loi)%>Q8w(cRNMRyn16f2) zBv{oK5OFFffmi5I(+Q&9jwg568wL_VJ4i@Pj!+Bx4)$>nnXF_)p=HKA4haHfx&4gN z8f8k5ZyQEn8bzT_B;ym4BTX6^sSe93#o3x%*>aH5A%&BX#SuZciqPan>k1%BM#@Gi z0YlSGw+c)|#JWuxo0(IYkYWarM9`Fmfbj;=*;EF>K0s7Gqz2(4jQ0g;p)HEU%SNd% zq&UPJh$$g4DGza#*==Bfl#w({D4CRqD#c=vDUjNv8$}Y^7QmV%jRC`P8YaQ9O5QEx zP9>;-Fi28EQX)+fS|utZB#H*fiy*Ygl!AiFC{bReZ3HPIN;61uMG-Be#T3LS>^BC| zRET1m8$wJRfT6%?QK1uLJ4}i|q9-CIf-7DF6+?{K5=`aWzL{`;auFK__+JktBB+Q=b@w-ti{OO`mf{L1Fik0Dq9KsCAGwqu z3VCYgPW!N%4gs{WuW4dFeet*BMw6mxe`(b2mg#K8 zjvv30b##}qu3x32=yHuKI9KCdy>)LFX*ztS(fe5e@jw?n&o$- zc3d!=7xVY2jxM#(-0j00vE{DIjYM3X`zWjX8TDtki=Q7Z=F!bkf2p>jRIT5CMa_MZ z?s(lJR|(^WNPg_087VGPQi^;v!~G8i=H}NJEm&&v5J(iVRMR;rMt9SPrW}+UdJJ8 zJWu_f@dGQ*6^U3*fGl?=hnIjZpgs6(mD2YRpC{2VSIUt0MaXmM> z?1eKoHfWI6Qx-W2QT+lR=u)8)9z%IE2_UN6V&_kT$bu#->}`)H9gK~KC1)7NQclKx|-7g>3n{f&{DhZp|pHJUSs;~3M-3nd^@G22#Xln8S#%uLO}4DZOZ=;IQ0 zl*XAel?o*ytekwA%66P%MbSb`dvh&>&TR5Au{e(wnW?{ENUfqsnI2+8aB7zcS2k(t)8g4F>Z;nngsY;|Qc?z;Q&l@)kM$ibQl& z$zRM(8bKoByOG!w$sLYO*rLjlGSma>^_B6(6NZ!Plw-p$fl?<>jk>pL7 zWnx)E{kwYmmreH;;?e}Q9zN!9;JxIwDQVerkt!gS_au$C+;EKs;;d>8BPB(bK#8%~ znkOWv&mmY&Mv7)XnQ%orHMAHeIH9zF5x7a3!8tWUXjC=_SxyC#*2;!L7j zWHt#Uq#&?~q9R3ZA+f}BWHUy%Ad@JgM1nCGqN2A61eswr%4p8ZOoG$`NQ747ICShu z*deqZ+DO>RO^VeD#x)W&NE`x4aU5$2qO6%jp1{tcM!3-_7Z{EWH6B7x1;9wVZ8Qo$ zakeJ;EkxHPNe)save86Gyqf~7cu;L6Gep6ubfV3ajFgAF?oE`K;UhUp!Xt^c;!`th zNtPvLK^9E16=rdjP&k>{NsctO#+jU$Mu=-oq%=1&krsA1$ZjMk3`?QKiL#=Knh@Fq zDKPL6FR-M<*d#G3hT=;cGBIi$6oiXN$RtG*xN%}NafwunoXr6(BN;wTQV|t6iJ>IN zL|IrN$|R&|DpDF2&|%Xl+EOZrl)+ERlPNPBHG>AS2^pHn3WHKoDuE$_hLIDAZbVIu zK}0qLWE+77H!2Duh)R2lMshAP5~j&x2Egi3c0-WcElNmil^|rP*pdyw<`PpvWbR7E3jk*zw`_Hd8gOiIhKx+7p<-aYWI6 zfh0!aX;5WNk+^V>`E#vQ#dK$qVF_4;LpL?(aOcL@<>;O*({)^_$4Q;2Pcm1JWWJn{ z$#=FN8_oeJUyw z+bZRo41l2|04l(S^Z3Du_=Jg;j_KKpp|_d4bo5p&7>1NOT4 zUh4i^ekDB%K0d#r$qDWKvj!|!J!h0 z%*CHBXs@S#{{R*VuJsvijHOOg`ybUm8~ifq{YS}`vq^(dEj;|p6UzlDVv^_RmvzlP zIB&TgE%CPsKL~9t`hW?NWyS^ z+-C_jD9iKw&vxm4EWw>AV#|*#U-hr};@!^3W=b)){tJt* zYNx^VPlP`&8V-xPIwLn7U4bQ?Jb#OBS0O$tb}mDOY97RIZa}4R|Z@Vp5(*t?6ztPEQZ)`BS*x3Tr26 zS1qSUzWC$g=TLol^iHYM;QDT|UQQUt8=SH!I)+)d^vXVxhoYnHy))%cpkj{L^sO7^ z+@*#xZNBc>y&9U@y`sKZ{0a4#aB0EFU41-Hva(jZo6u_YItvVw6{q| zx3u5nb&el}T`h9Dc8NcAro-Frww`pn3871B(P=B&_Ixzb?P=p>{Ql?t4de9V-6!IO z)91TyOV?doR_rc2zVC9CSFYdUepg)lNOd#+0NlDRdA6!vY_ct~eZ0Mxaw&1o)Yqp6 zYUc1>l2Y9Cx^2};UPdI>>ao%1>3U8$@Sy~~lp?>YMW%{{Vu8FV4=^hLS6^W6%65{{Ya3po;$h z41UU&{RZ*p_x_pL@f=~M`S#bP^>i&qE45|cfBg?*9Y^9PsK-6IItI22hjl(z-8_6* z+RLWLyNrMOG5-Lej<2F(leY*z&N2QShuePt0MeFyIVY!nCH*ZForPNy?%T!v8VD!| z1Cf&Mlx~n_BS$v^qjMvqQF=7n=n@!=6lsuBVl+soq;z*TzjyB+uxq}z2)7wJNFI?HSp1>IhGu#gv*55SvlTRb&Ia4%%OGfsBwk1WlWSDF8J z8Cg}4MlItnb4hMh`}^kL)7;QO`smt!2kFH|i>|bCcZSgt+QkykYrg=iyjL&Q+*Hfu zMHbp5F3K+v*xwHtZHrPNRI#v!$@q3YN-rRPTQzlP|M8ptzmdO4oB@0+qTkDR>u{G#|aIdUX5LZMjlt&ZikBgdpi_2l4LZ_fm;gu zizS+eUbbL`I&0zmoiXgg{2D_^#oCHhlRN9tCU2DrqM49$gsECz!Mf!}GdIj%P9Ny< z-sG*{@)c3LPeftZC$A+WaL<9BIi-)GkHi3{?D}~5ionI)ukUx#=C4`#Rf=WmD-xyT z6e+ywI5XcG9L4pK?pTNczhWD^!QphuZ_HA^>bXQ~T%3&=Tp1i~z5@Po`W}+)UE@?! zs$#+&)NWo3RGB+dyC@U-&2NF#oe^}LbRwqB{-OR2A?!``n(D>KN+h1Wsfxe1;fiBQ z+Q~|9La~5~V$NIR6?M_PGpzd;izDn@eU zvMgxF#2P;#V3U>!?r(>?7C-(O7Y|1$_cFFQZl*>NQ7h$^R8SS><`wnxcD0Ip&Y9l5 z&h}SJekwhF<)_8kJe z{mMKLMC^CLxb=t(1;zM=o=DjhG8M0OW_Fe$M@HFUhY$+H*q!`_U}32i7l2ccJetaQ zmlMXS(O!rUoKjcr*GY(2akD;PReK!2RRhheOIdX*(lFs!ul%ZUyylh+SDMKM2y13C z`WKpMM8xX85RNq=)I`*z(g+$*0D@v)Ha+f)Qm}3h?vZ3lhe+`2L2Oq0Vd7EIBv=s56xT5)3vzIcPDaCPKxcfu34((6@IgOpYZ3jxo>p70f7B98A@+@YPxveuI7q zD}B-b($j1FnL&-3oaS*f1W~995oPHWjir_j6|NeN`szsHL2Zix=>js!Bi|G1;g5DZ z0$+8-6)^J27e+l$3)1#X50NTUq?kP;$6tM1`F@=FeGgiYOA$atIqDkGC9jyv>qLT5 zU^&Fo%w#d8R!ULHSb6E0N|Yc{AjJR9*LZLq=m<>_Q~}o859*S5*DI5!gP*euOl?j*>1TJwipr=179Myc;dX*Wi=6Q0_ zX&dT{<$Nrf@Pg@)r!`=GfC>jIpp=iU10qT&T&Tt&qjFG9QcAPUaB2r$ zTz`gQ9o3o`)%qrNzuz!)Q#xXZSU9Fu4VwNOz9B`yJ**A*b zgIv1N4<;he%cawzcwhC*92puFcicEiC??QQ0ABw(vy}S%--d)}j<6m8q_opZmU_lu zCpHtAB6ZCljwAbH}^F8_heQgHu}FpD3~s25*>CRE@5_ee)Pa;DJnuM=t} z|L2G%x%iK?#Oz=FED0~IneKj6{=37AhF}ffVQZ<=r=wz(`lIbAbk%g_0h0gt!_2Q4 zYnaAO)ly02sf{I&Ne!V^r?e(Iv3NbPw14L_`$vC`hdzdqIz16=s#LI3CL@>G2qZ+Q z6iPm0HcjOD#~zo*35rV>=TBfGy6<`hAYnF9O6Yd6;s4d#10ICbo?hNQ;jK8K{We3UbRA!%%KCnT zEeuAk7Ys*GlO-`QPAk}EhxCXqPXDYap5wDb+k8eBN>VbqN=H6&Z)JAM0mC?jr0bZe ze|W3##D!e~l)>5zKmblsmuVp?6aK$*J|~o^Gh+OmW6bcVE-Ovv8h{ZPQ3xz1v+OCm zxOj#{DAPujA)DrZlVCvvVTucT1o%k6aiOn}eqS54)N7JC-mfox!w48lG)iP5<>NdH ze~gpT+H(rHUXYimfgMW}lqpixms&|P1r7~o#rVds+eH7DtW2y7 z7fn^AEYeoJ`NC6Gx%&q2!gCf_j4*`k~>k@+4Z0*P->h%Mxo3uio#gk&&>6Z z8E>uehzT-W`DOz*ITj6qOBugDz@<6VjDGI(3W*9^2*sj?@5cLMx`qmWQw@-F;-SmZ z$(Sxl^?RwFnwUK=#9d=O0o){N01}?hv1RYepE2k%pySsJ;5}p_fGKq_iS-kW(LPA5 z0?mhCBIu|RO^Otzc`kX5)cQ=IYn1s1O(!BHU9OjZ)%6&-l*ZH2-zR4v8FcNG6XAs~ zX6-~})@ryuo5d)Xeg@}Kj57_Cu-eS(Q`s^qxe+RTa|6F6AOd^=DY`l_QA80u0z@3A zusFtKAoRF2;9=P$SB$voWn%7U6U41 zBAjJWt&Tp~&@Kg7iT45G{oGq>oU`K)-4q`iE z=ZS&Ux(;_fZqO*rEK$#>tC%;Ze|e0`td~1yT_?*>k!P`ASA4lU1kne^Jz)Soe&qV6 zZXb?6^qHxcq`eGV7(T>LrE5r>(Ps@z32NPwb~QiYEx`Uqjzx+ku!cLjk$rHMplMVcutB)YUC~8Q+i}eg4$p&8?Ze^f|Lqp zJA#`h+UN?31qtI=9sQ#+krdpo+ur}et+g160MTuZQQdIRV3+x44)2D9RGS5TfGAw8 zxksH++Iq9gA}o39Kc>fSX+(*IH6BE3DR-HZx;r*`C1&0noc-UgEKZ_tE+#6>%8b8iMG*szWc=)!j1ydNUXH@NcVD2S zSK=r|c2Bkf2; z3*X}&mApzCMWY0+VaVL)YNkzQ0;)CtBp`w?*xQwnDH3|Lpy6OHHCbJn*Jzv8KE&bYVm_e=JHtHjIt!Cu-*s2 zQ)97jY_a4k#!gHtF)GI&!uAxD8x?I!=UzvRgP7h)Jo=)aiFi+{=$JAPmLjan_~D!5 zhZJ5`QejgC*EGf-POoiT5vua!>JX#%6ZsYq7&J#*rv zTx5PyhVk(PsO#bsv#Yi;8;ANd36TH&31CeU5PSI?iTK_Nh+((Qsq|Yiw&80<)u!g* zL8;0rTLHQ;Xh+U90k3b!vvYPjF6(8H=@+DhR4xW7d~7%gr^rob(s$1Hu5d+HB#1*t zMan;P=)oa{zR451oOzc<_TdYD>2Q>gw`mMo-$W4J(?{MSJiug45AHdk##Oi|b?R8n zW-)>J22k)^wn)y`kf!;p-{R!O(^Z2p*>p4Ol`MnUuy?k|ivyuJBAvZKz_*l=b1kJo zWfl-+E$b6yH*%yf6cnqc0(v!7^u!rP$m7NOJgr{rfG5TaPn;ixW#vG10eC1QnOz}} zq?&h-N!J)u{Mt{AhCEfCuS02u_R%frO(&x?ujBk-*wXBt1SMT>Qn(Yg{HaD&*K<0n zusBidIO4OnVqL$Tuq!-IfHS)Jm6(8G3YPTvR4iS*TUtQmh46RiyTjc19)@mdJQtMa|b7C=S1Y7&PH%sYz2 z%dS(lJn4tnY|1H!0no&XM+vCpnvYz=cIwq$eFMZBgSPq7_%=(=?qfV@#z#kbQ2Gjj z9N#WeBx>j3BA-y|YMBCE%GzCPS3-{MCGIYV-9k$s(X7?ar0_PIHzh4N(ws^QY0g9; zHB%Ri`iYcvJK%j(EmIM>TjJ!K``|d#3l)DhK&eH<2??&W?h>((Nb>M(5J(6iMY8t) z0fZK~7jO_L{Tt3??R_m`4^qj#difa7pC|BM&5L#dB+n2*L`$dy3J(KnjD#=O2U^yeHH4S!6=Govk8*$K5*;fLMy-^%a>j1yU2TiaugYMvGBU7JdSgF$F5GCxTfE z-*RbuR;nuWX4AD+Lcf<)D^ewKEG#B@{cEokuZJi_BHVQ5#V~WAU`6mP)$eMSQS&77 z`$42)jg9X(IREiCLSi16Li`Yv*IDS>tZ1K21Ku|w>*jY&Okg%aZ_Nu*r@|$+sOV*< z(r~PfC?22(zgaMg8BX-&ry#QvN6CN&qA`I{Q~*@SvIVhIhD*Vb_=5BA{WTztDSRxY z2tDg&%_mBUZ1Bu3+?&lU}h#Ruoe?znX5Vzm^rz7E`kL_jzlbCOzK~ z9@1+3`$j&o#y|UFt#?z`UbtV8zv+C*CIxyU2PV_${x9DM$F8OyJ-#%Pt4`-0YdUjM zo8UPXM!50O+jJNN37 z4j!!Tg(Nr3-g7vsVFvkaK9?<#;a2j{>Mzb5k7NVTX{qCc(yI+c<#a0~W=-!Wf=ap+ z(w}3Ea7GoKmo@{(wqt<@ht9w6-GH>~r*cPLqv1P}jv}R4DRYtE0e)va=>c!fehj1zj&yd>W~OP2ps{U|Ni=zSU$NTPo7)EfU{pclk{ zkj}Z_8Q?J(+PkrGqgD3DLb4LzHor{AO3)(a6~1?+3EhI;w`aYTTv4}8(+0D^j(nhO zYR(G(R6zo_VRiztQ*?3l;-C3P}eO%QN?W7VP5mO(vF*4Pt>^k!{Luol^Vw(7FDHTDpJxE-M!&e zfaX${29{O&vmIQW(O!UWK)e5&ts9jD4K^*Z4gBGYQ8#T#gwOS%PSd+bNeu zkLok%(T@un##9E|!e96__+cxWiC#-o*$Dz$J2k;0&zixG-`otBu?#&KOISFuik7Xe zpe!}}U95JPjU*$X21t3omSdEAiIZAcsz{u1kquT?j$o-E=|MouQ?f;hrPS3l3Yv;> z_WRNVs&4eJ`QR`xevSI)kJEj_TnT}hP7)-&Y#PSGZX{z|oZv(?B#9f78oF1+&K5|3 zNS@_fCGAqFvG;^4*Bj_a_>w7${S+dfU{XSJ76Dc@?ll37OqElV<;)*j0Lc@)c5ZQ= zD^V#`!W^06bPD`V=9Y9UkdZ$M5Vm-e3LkX*MdG_l8j-awFqdmIiDKBlsjmM1TPvGF zJK=vS@@k}NWQj7^LeX9})u$(bT=*wma~t7-h}H<>lA7ds4L#|YVO3vXY=HU97v!(P!7c!h76j0-> z#~gaPXC8LVff^Cbq&}eE4pmdD`e{?xkT^A?)NjqLg4UQ(^A@gg#f5&zB+A!(qmJ+f z`nah#hE!eR!}Np@Y>eER*v#C>^g(BJHjas?D2<7iBaxXMdO$(QKscY88)U_6cMm;h#OeDUB!}C7|P%Cz8RWDMkETnjAf4+P^aT z$=0-}qnl?HArb(BXXGrM$*=ahu+v%Oj||Q6bZ}n{kW4S-=V+<~;7wwwZi>HKp*2J~ z{4JrYimU@`VZTVF!hr5myE+)tUKt>zH2hQBkZ`MtPS5AG8YKuPQO|&V@>a7_xvC|a(4Ool?jN4Bav%Bg4GRJc;E!Cy z7r$l?8FwFJXV#>hT;fl?S30%XkufsM7OfRbRL1XMS{=6K^t}l#OiW3n<7qk0aevJw zI>Vd#yfn;2=enc@Jd4!Pr2`9X8v*KYVfx7iW-W)oM?+ELnv z<=9Ftkl(X5G`Q@Iq3*CYeX`MFSw-x$fG{McGb()nJ#$OX^GzM?q?aAh6Xr6(|hm}dg+#BK1yi_ErA<>c^{~9{!BpIq0aES3w|=Z|2Yq}hjm`V zj;|8s)-FnqcSvRUS4E@C*2V{AnEYyXvfHnz8(xo2yT>JN%gUBpc?aO2@Rft-)Bu#_G#})2$Za7VGnC&nXENjHLGE zTj5T2w%?wKpZ#T$J_QX0QJLK3m6d>SpLJUwN7p)n05dx4*7v*qA=@yi=#KrkY5zvU zzj@)duDHP?=}?4X4tOi(;M8R(Gks~^^0J^j$;D{j85fcF`u)J}w@MeToUJFJtWHZe zGK#{?l5VzkDkjuhlI><%-{T6zIe}|HNP0$5koKU#EB^7m>HY18{KYGWbg@7cL%(~y z3fu1I(ujCQx)UUb`_$tgNPYQogfBKA;O|CMzFwjTLePL};{Gl)zo|%~X{8C<_t%I2 zWdpzJmvEn!DN~CRVD-Q6%{{x_XVNXOvB<;zgZ5X6!YvN#D^u13awn=R<`wOw3mq~@ zIjAhpgTBviOmDs>U0nH;bAuq_CQU*G>|0(;f3z65l6ZN9>5~zb&gE-j?yj6kB#T(t zUPIu#cI81g6Xsdxp~|asj5?eR+(_K+u6wmWW>IC>J8u%GpL zo9Q6Vi!Wp*3$Dz1@)+jQOe?>;;rh6IL-iT{w%JmnR<|8~;|wdB5{tpLpiMq0+gHZj zoE)lr62Ouv{gw5;&|<0L-Je5NlDRZ=_jKM_L+4ey57eFyL7o9(aSu;-gJh3<@OSex z>wqH?CB=)bRrSk~nBXyw>-b~(eN*DpDVAc&OO#C$@5vtemP67d-zy2Tr9}Z-op%XY zkXdR&1ZWE73;97?LIU4-oyxM@0VlmjgmD$}`Zl@phSwc~?=(xU(f5_1gpzwcZ{;*I z*_u}3Fk8369rLs`r~VZIqt%#ki9gb$*b7+psi~KHuHo;+Uz^?Imp6_4A<&S&-T!-( z#aCRqBQ9Xqn{*L$ExHeO2FF+14KCms?C^XxgrVsX$JSFm_r%$cb-D|~Q7BHVZoFR$ zbg9k z$B?fa2m;H`HBCdy9aw{=?^psX+O;wKY%V;44%4%Ng_98llo#Qt9u}FGNuM4V?lC1! z4lQEq`yo5vWz02Q_i9HHkqT0l2i|}rU)|rDUH>W}{~&!|XuiJ_`sjfp)M-m#ZGfX) z!*D@~d0Pa#bEa!Pem1SNdWfjfQrq!q=Dw}ZHot&o$C`h~><6Bv5~17}eIpV*DFMEC8Gauxz1s2{PEQ(cZggyB+6L9)8y zhh9=`!+*N2&1gbAi+9PGWcNqE=))5g8Z(qz?eEekEj>cD1Eeo4Xgq2RZW+CCLZ#*5y61r!*^8SihEkDwIRQikA3rE)*x7AU(1xo)AH&jZ&(? zUtLF~=sLQ+ZsvBav;@~X#>Y>+C0Z+c2W5dm3=nI=F#}|xzKkHevg(!`6J6^iD_(Oo z1%J?|hGKa|R757vXGZb=`0S&*aC77}ux%aB@ezmfGXm;AUMk}SgHLtxooYkYjsGZ5 z58oBwxwZWeqiTBdz5lQ0I++NA9;ZqA-mgnQ54rF>F5c4=t!x%kd)KHeIOX;}^HjlC#;l&snubmJ(|2ZwXIdV4LsCQivL z431wZmse0g`v~~Ectw)7FNZ;bG(^&MUoHDR_- zHeoNI2mY+;52^`eJ30{`wLHVj2Sz~zth+ELqa9fK{~nozFz*ue6>o>yPmb&d#K$(W z&m817^qTcijGWQ4XN~CT?zEdp&$VBjon)Ke+VwABE_;GsJs_j$ank}+qVHn#{CPgj zjRxD&O;$}-Tu&_< zG_FK0-Q|kK)}M^Sl=nL zy%vX&2jdR&I@=Mtx+x+1fZ^=*mxA++*pH*CYztn{BuLneT;IY#?p)1pKg|sXe3tTS z0`*_VKnsk#AUei4+NR^RIaS?e=j#W_vxk%f8AC`d=&tQu*?Kl;*R3i)CrSRw>@;EW zCeJR~UQ>9Toe~%Pg1J1fH+)@~x8miNkq*@!LtO%YO>aqC-DH(!^v*Wy_Fv`%H&?lF zkkRYJw+gLyz6r6F{HSK;HL^AZ;$8ij;GUCphTMO#Y@Stm|i$mUGbApxf^%?3l6CQQkoG$tC8$(wx=h&V1SE z(kVr)_57zNBCmOxC;Hr`4A0rVTEfvCo64)BXcSV5mo3XTE2t#Ds>r;ZFGzCpd56^t zq^#5`f8t_|kE`U%jC5p{KnScg4vC&!7A^*rEnMmc`)aJqG(^%oIAT`e~P`%BE{)z4C@F<8p5YI0K$peMr#=u!E~5 z)WeLsyN!B5V?s#cu&-0-b*AHYE&90zJ}m%3d#I0Z&VaogvxrLlC`b0@le9&uD$h*` zuM})yjYE0g$ft#^W6CGLq|vQb40slpl@V`H+iCO|7*$Z5n7ZtKi z6E_#qzY;ZI@de^#DqyFQe2y_W^?bU$tsy#3QN=;slrfs}KE8D&ta4A#o204pAzD@m zC}nTs!+@DY+)`*{sQb>%*J?x{VnE!ccR_VR=;Ka0=RFQ#;*0xf)t!xKsfV`I7*%A? z251i^lAj6nr&{{+Kg612cIR*CF}oA%Lu=aU3m>)#IT~XekDQZwjz7XZx4uE9Hq(ZU zSJv5g?u%aU%q=sc+SLEvT*6VuTW|JEpRwfuK{|R#g~$YT=9L|pq=4(XKmPf(PG&w5 zpuk{ueMHFFqV0bEt2Ut|b@b10T60@kXmiIc8dcnS==Pvm7lji=4=&P;S3!E~>RoTO z-#3`bKu*?sW1W_VklsbekGv~6bAe=M4k~PeeNQ7PJlBU7eEeVzeZ)n#>@nV%4sSSy zaOBsoM*O^`xTbQa)-TsxlkDjwBTZP%ia*!7PW!grI#a}N>ao%?2^qMxol~w7sH9hHvGzotR2cG72cijd4!?*f{`yFNu;2`1a zmhFJeI6RH~x}6P#--(JkS$-vS12H>+<7Rv81idKmbLqYMP#+LPWg9#1tn-uB$_TI^ zQIfSWiP}9pY|^-<{JXAx(U#?^*}6ag@@G2qf|i!*g=FUjFrQ0b1;|#@Zw_i>2x_K7 z7_O2ECRuRUT3gN1Qv~tcUO8_Ej<6|t9eWjZr&u|$^<9);a|koov_mdBtE<_peTlL* ztC|S)+L3v(7OFB8>NaIJ(wJkLzyt31%a+qNDmOy+s?Cpkxg!p|u6Q%kc+V_m@9#V+PKbA8Q00|okZJxBw)k)VK zK>xzO3;nrjB$;AOC+5ww6usm?H_6IK7*0yjvk-G18-X82jsu2D7vi+jpeUHs9ILqA zO+Ky2;MvmoLz=ovI&5m{`|N>=zOhoGT3E7W*zMB&J+@sH%`RF=v``*cLnKN= zoj@LUnqs}muq%f~Kcimph71AcckR=4@>;L|CL}k?c>z@9jd1An)86!;i`EP$ws?}Bj=vhBzZ}b{8rew9t1K%MIJ4J@ z?)cZ1w@^?O3x$5A#sp^tg-&l+MbI%sA$uerGCyk%W}zm$P^$#0yKZb3B?q~z+XLGR z>bQh!#ks|-JAC{E3p*^$-OvedXwiqR_Hpm6Z3azPj1}U*dQJAf*7CtSWT=K~WV2X^ z1@0c@4AX-(on0i|c;&ETu(x*&4Oh4WN|x1XkNOQwVo>Y4+uw24*G{rVVgtYOXtz=l zv*}o0*Vsh({>C;|EH6A@`XB5&o;ZK6-pf4mw@s2w)80lj;INt#-+{g8{h@|xz5Rc5 zlaR!h;K9iOT2DQfIz+5ybwkc|Ku$11o9EW|Vm&lV`;#dTwQAMRMCi~)-*CzLbE@y@ z^h;kaf-aZRhdPyajOQC9?DHhwgxV|8+1An~Hq3vG%GRQAeBi^k?5-JQm-tvdr5eTSFH5jD~kWV&VI z%_A7^b$Yv>7q6&;;?EZJo0bN$)ur6r9!@Ck_3aO&Z-IO=ghx0MrAjw}|E_3-^n_(l z@p^N8i{EN&d$9e+eWKUYS(Dkte6Ze^JM-;;c<4Z!MKRR*-`-Kg>H&tJfdl9}JK-RE z*MB!WNabm>ZQ4J@=Z}d3woI0;%ulXH{rji=C%s=ZQ7}KXYRWcB)hnW=>m$9|!6JcN zpzj#-tNxE#@vx?RaJq2O{XbxRnrOSeUKx8=nOGkN?_O?taeXlQU&vd(#DI2+Y%tO` z50}quYjKZFg;oE)y}&rs0CAhLvHv|X4B^_ATR6M>=T4p-Z>`(YF)F0)n!nK^w%tj8 zXMkU7x9!2Rg0A{7n1lXW?J?0BqDi%WqwRC)StnN~MsIl)ee|*Lx^72uo&=US1JXQN zr(0BYk_a?npJ)^LZJn+e$0sgry!mvQK-D&0inZxZG_`nd{6`4;Roq{@>Pd%P<65VB zbz#U+GlC~!p2ViO$S(FD9UhQO|pD6z-V6Gxywc;@w?{(JV z!|Na!Qa$RBbSxvwm!HI9m(HetVryQXe!%`c$o*N% zZF_w{)6e|W=$Atlzw`I0<`UIxQS&HvxBHJhh=cSZNw-z?rVpFD+FDw)a_d@>D~nOM zOwj)xO=tOUi)45~PS6(|&1JS0#m}iujRwDRZ2s?20zEZO>%1~S|4buEPKa1+)+upSaKLoXqH=Dg1DwZ)3BUMYvsymJ!1Dj1tgddbvs z=tHm9iMbimj-20H)OOI4zm~s3PMqc0%h-Fco0JCTnIDi$miE;%r#--pc-Nf7W=?$4 zFe`^@xW@RMU|Fu}T+62wi}&lD*Lg{+uTT$3RZTKdz1(i?(rv9C3wjp!2pQ%#%lG5b z(__q28)3dj+DzckoSM=#^HV6|HYYgLXyV+X)oB$696eur8sxscpv|XYN?SX{qrN-x z((8om``iYQFStr?C41@GVe~Kty1bp-Coxs$5Y@ZT$-jfN{7o0K`L}~6h;jR#nNGt( z?s>|~TD`1OLCt}$ZzLSpDrTSqr}-I+>C(Bd0HC5yO~{Doyu;gZlwCIp=PjkSsP2?W zq9^uBnBLtSI`7asOYn9jTd8f);WSX+d`%)ok7Ixb5&y9Pc(OKS)FdTM^i>>u~aLZa)hvb%Q;!ube5I*DrH5~@*E2AB(ho4k;N;2@gym8~{g zbbrzMj)3Nw#vTmXslJVcSwech*y2R&;5Sjy1W*x8f z+&-@DnuF2lP0!L9K8d#DvLa)S|L}rM`UJGKH?3Mni|&P ztc_%-)%AhUSU@bI({kW=zCm{T9z$L=!0HoNq<)>J#jBgnS<+6uPHX$?LG`9+KsxiL zyQ@~)SHsv)Q=z}LiPP@HmP%~v%i&^TK;6#}s(`n$Z@F^nc)+u@K#qY+Tx`b-=yl+{ zScH&4z~23_pQE`r!-a9$sfM0i;?vHIKftVITkes(bjGWwyJm-0T-Vf9&DUQI^V=SR zW7?BJ4BJPz3M5BfX*p-;+=fx-6>jq*R&r=Nx0)CmqWQBKW`k06`mb48fypskpG-)P zs5j#B5rRNa+f1!RBc|wm;`fZ&Y?4`8i;_O7u=lI;eV#R_a9a4l^!R%yuSPni6YqsgR<6wZ9)QF@I_Y z;E7zZI#2y{#L1I?=@}Pmc-cV+7~@^Y)#2qa5XFHKVM_{;xf*ZDP1CmUI{bby0cq1x zVz5AbY|hY-c^e@+4^a3^c)XoNf|3dJf;?J|T`3Bbe8Jd|rTJLdl}S|w!xXGZN+Rr4 zWbQG?08FTH6P+s8c{l6Qgd2uYH#63Ykb=Es7N|2OS(WVK&ziFLG!x%F2goq?LzVrl zDKjmE`bkvk6jEXN0TessEMQ@Ezwv=@9X+8dR9YrK}Tw_FLK`)d&A%mBCeOw zx{}2yh{|Ja{2g*lH6aI=J2+X7#~ODE?wU#)UyC6G!-`Z6&sj8IK*67*d}@W4fZ;~=%Ec!P=Z zZm-9=V9D%uAl!Pk8a7^>h(l#TUn{8m97zzY2-_DzveUdww&_hsx1Bw4mYq@~>l?9s-@l6S zWY0R2)^Ed_Zvx-m%c-Wzilz6^yp{NPLzbCsYccGlhU?%VRO+KDgsj*jRBCK^N*P%! zyO2eUsZRmRFK7e~dKv%@^lPS<4f1juPZUyk(%&V@&x1U|*#+n0?`m_?QtV^d#5X$i zG?#^)qLzXA6j#-!29uIzX<{imA_7h_vUHH@#_dU<*8;n$C0*|3%JW%VMCI!8heyVU zoW*HT9EFC2ryfr8soOfS)v_Qz_JS{-$tmXZi6sn9)j(5(lCu~yZJj4X;HVdHm9#=V zeT9^~Cedl!>5uf-2X}2x zwc!elgSD$HgRTJsN!p0T^wF)#vdiU?q8YlRqc}__>G{23lm6w(uc6y!5l^TdN`RxU z-aig`DLK}cCp~etAbf6GnbH?a>fYHan!4AETbiAz-J0A=I}p>a2mO8Fvqv2SA}qq) zH3vCaI;P4zJt#csaa1wD%U{Dqll7hhQ4?NMWo2Tc`zhGJ1bQuUdPNTw501hXzWmg> zle+@w6Q>jXk4JN9c<6NwbW44X#m57`b}L2HL7Dr-t=&`l&eAVt4q2`~?JeOOLc~PR zjkaY}NOK&r<&gPTtBkO=Kb4O8WZZnZth2@KsHB_e||l+TYTsJd!Lok(URwy+`}K4WVA!V-IV~a?3*k zC;Z`b^ywD665qd8bs3+vm_u!y=25I7Q6SFdiLdToZ-r`Vmd|CWPJ)-uIjk5~gPRs2&N!(0c`7GKXuA4$UW4ai~sh)E+lF-=&OZxhq(_(2qTp9ZID!b>66#$ta znY!QcM|H%byPZeU+sE%%l~|KywZuC>c)Rqr`Z4c><_Hn zw@!UI$Vw%foLNR=In*lMpEWw8bn30FR0pr{XvMZAWq0^f?<;6G9c8yoAO3!VNW)RP z>3YW@vQwgavVEjd*6YY>^S()}A?0(o&lU;(} z$Q>1oGbvJA0jyU*ReQ=WQymS=eP*0t!8UtI3(h6;k zlFqc8wvC@iom*B0X{YXFPRbE<7>%lup5B&wM;I=(PpbB9e;HAdsbb1 zRIOe#pC-p+op(#^@LB7)>^HgiTCfk6VIaK3XRvgTCE}>!QV=ukb)$PgkM13x^tc5I+R?y~T z-afm-fpRMQR!A=$v*VR^aL*IaIn>$v(DLVdqsG{6NA~R`b^_W*Sm_zj+Lkbh#Hmp^ z!MA2|x;xX~Re19B-=sCpfpa#8izN>lJ&}7`H;aS5ND4l9WN9BYY;bLX+u2QYakiq} z6LvZ5(6a~Km22?MnLgRXuFTK7lMYc1(H0(lH~2VLvvYQ(71FSZ^|MV4wCYQWlA$T_ z9-Hy(9^R2?|vHsp_#Mt%>RHY#obc$6Web^EdF;hT7f9 zjD}XEX*=ASI}DxUXYGZk!rSah##j6sBnqNw_1txXce8-z=!bF5Jdfx$`8b0ps-v;t zUW8PEqzkO^D;jx_UvTW&S7_yR=14}ol#=$Z>{zu>MkQJgF0a|93ym{^#V*kQ89xq1 zE>iGhh){>l=SjEb@r7jHm|10;Kd1sBVNQ!Ud^tmq{tVOS z6VAWyjJU%?FrDL@>=-|*G?yBIVKI|a`lms`+u!HGdAx?=M>z#)>a@$jmz)hV`SRPj zdK3n&6l#!!KU+Xx;ANakQ^9H*KRvS*!*$jXU9e?7o`tX-PnK(@zAnh34@Bsw+ z*8I)Cq&n}zuwZs_RL$8v52XED_e0Ku2Xao*Na1JHU8Q)*==h-Oq9S#zBd15#;W)f0_x@-{!#P7s$gUhaO~1RO`LyU(E3uKZLw}` z06LT=(zFww?E!Jl23-~1$a7fC-b*cRUgw1$2QD?HS8lI{gE_k)Q_Ipm9kK|^#Nrng zSKik}i(4U`7n_Zvkt-`6cy|@&_>O1oswJCg<^DANX(H=GH1WBtu$$a!?M{xHjp~)d z_;0S=eA!8Cu5nvgKV^N3TmO%u^KfT#|KE7Or&9;VsG=zy_N+Y`oK}rkY0TPft=bZs zh|_AR5h+26kV6ooD5^G9BO*m5M(q-#iM*Bw?Ayd%L(yt5 zrjsk~P|GGwNL#sg%M}KV@K{zTGdFYL5DP9<7|Ud}8R`xr>JI{7s%vnEUm^}GN9`}8 z1NZ`ElBnGV(pHl*MPI=6*S!#gajFuQ`-x|7y~9hR?uSU}3u&*Flaprt>LLrRN_DRD zUz!<(-Sgw91t}@wZEp-|2)YG=hc%402K#d2Cn)~uFq2Y z50;K}p`sdHJ5j4gMf3DX4`9stoPe}(zCgFdYMInMl9AYym2E~FD6^#h?nkoWR|B`C z7nfC|Ue&yiD!&?U%Wo1Sl&ty?R)WVLBq(DS5WlRyDW(4-YC%{ z^kuU^@HeAiF(n$0kKK2Nte{7U+=3=IHibO;1t~mwM&9~@0&i4rr}1&1*@avW;7$9L zy6+aejo#?HzSBUOP9++}_0(LwCF8oT&;We1p<>^i+^59OR}RN=w;08rwZ84#p8Cp; zO+rb1(yLN&F+DEeYa;B@IqjJD`8*SWJ8fT!O}9|O_y6`+>p48m0$t~q;K%)CYGWa| z_ zewn+I92$-juS~_9z5O3d>h^d?qvW*P(qo84g7IBsC;(#okEdY4o#%IXIrtLojAuOg ztc5hM^2NOmbmPOln7V}b`2OAVX+(_0zG^xs-B;+U&#zVP^98M+2zT_+cV9RIuNyyX z$hsqW+5UyE@$Grn-cp!E_#zL$JS~_GR83NOmCRje3a_?Tk-3F#V7IS%alXkfct33L z*YVD&3Miu%**eNtm3nMz3F1s}kjvpeFPBUnAvd=dUxQ z+#Q8#gH|_8u{Y@J+Px=L{Nb5G+G>6VkEiatk}^SIJ^;rF+wQ({_HjXZ{c^g$m7DVS>7d%c)wM*csc4OO2!@3TJn4x|#sd9$s z+T+g?)>1v37HP?JeGoYv1E`yhDi*NS4LHK(&JS|Vk9uu;?L2hr%;eO(z44))G>fo~ ztok0lxG1(c*h4IapFO0*4*TS$QY$~*zJ|HBWopscqvIsb+ON~r z9*v8?CrbTt<+iah2ccq5`r}}y8x$|q5Gk?gyc=6Ow>++D$|WBCl0A%3ly_?1RtKH$11^vnCq;H`@ErSR=uANd}{ldUpkFdNJx&+(Kz>4@D3 zx%hYfxRp1IVwDp$s)T`jkfK!N-FfOzSUA3)Qe&MIn#SMOT>LhzI9gyaCc8= zyl&AeAC^`pA-DjkuQAi0*#6w;jBl#;Lw)kD`$;pmgw&e^r~4ht0N*WI``}#Y*odS# zu6NAuqyB{Q6qJeXhT^ZD_SUYV<1&>$XJ+)Hm0&t^n}*-CkepJ#$YrFmw=gVj-9i-f36JZWaABa**BVN)ju1t2OT} zrRALJGddVUkMQJljNuF;H*UGHk40CL74;@}W5)IjwZfxl!u6%$N%Bb`jfx9NVQc(p z>HE6!!4rNlQIeD-n}S(9kQ!GNg~xyG=Ch$?YVC>$6A~&JKer0E-QrZHB{U5<+T9i? z?bQol+{z)gXzO^fsv^XhfRfjSyO@{gio>AWLi1*ifaYeJw*I^adK!)PjPLd(Bnd$& z2}Yys5&qjsn`n1YCtAl#@H>p_yS&tqWSt{lUc~UMAINCQ_A2R_ zjLxI0?{0nbe9K01k{CfRBjqzKBI;6j(=ELw4s7yL~jSRoBpQ zY?Ix4)^?e?JI#*+#VNi~baj}RGxiH0rCGpFPwB8}eOq_KD~G(a0fH?J=&jXwWVhEC zD5**M6%H-^_;iX52`!U*STq1G9i^+z;_w@@ZVcu1HP)>SR*cJ7X zIc9kH)=aMU&y(3$-1X{^TtLVNSILJ9B;+^iCZ$+MwT2}*9figX`HOP!586O9rG~geoSX6l<|TUv%$VGs!D$!`|E3JhAjup%Qq9|hvfL} z<|1m`BP4`3-0s!iVmf4;#<#*h!vjx8C`93+qRNi*%ViZ?{?&_+-Ko-Odb{No0=D@Q zw%dpp$^isD&nCU*gidRgCl{oJp60L#0vzmxn`qX~iq=fDxmtd_Sz)p&cMQ#9`s!tw zKW8#6rs*}f4G}PvrOxR>XldV0abBrJAJq@9Jf{LaTVnZ_Z<*##KZnA%_Qcw~8+J&8 zF>;Y2*OrJJD~p057d6*e#rtCX`>kthi!nK)7>RKAp&lqS%_2ENWu&+@8xcGHof7Hn~-v(|~9Z7nHEhtnQ(>yqYe>i*}EW}W!WdrJqx z?V`cA46f)812Tc$#?=fr^qhZ{j5_tZ7<=B{rf4!}P;F6%>^=Clr#YrTjH^*#XIf}Y zhh1V{jfLhs@+F8F?fBTw?RVN zz%Mhsu*}7}@^0I5%ZU+{0aqSzuliQ7YoDA+W6Vi3i))H~xANT6-)B*m+j*O)ox_29 zZ5cRzBlq6gZ_+M~N)2MA#1d2p#}sBZ7*m8RpX)r(oPi^nPPdj@BSKJ}x|z;s>YaMw zJfVcT0jEbPAn2(RsYLu}E)u7ENE=c)73s_=+ig_?*!P>m1Jet!`ArU!C13DJb^i?g zHu`2H0=M;7&!>(-f@cKQBK^f_g2|sVt*ZPJ=sCl@@I76wl5q9P@If^}hVFiVX(u`u z+NYE}!Avi%CS$Wgwae`87F5)E58hbYp^>9qL^9ojapbBV)LI@6Wr-ohu_GloPKncX zNKP`Ky3rWA!(#zDSgv>9(cE zx6h38WiB!XlMkzC7vHJyL;_nat;H_)6rq3w@q!IHR&2=}e8AQ`LrW-4r-_lcLF(cd z)iQVU_+Y@ZyTQ9oi!;6elUtEl%%w!!Gq@aM3e{qIYO3X+!dY^4jl#z}QB;7I4w}#D zn^5xKxM|mpRDH7dL|X~LOw2DzxO6*dyB&R%HrkXY*Hp>|r4@B+s^{>c)APzz(4~Va z9t-)Yj{Xzo18)u|e|h;ZJ<824&49YWJn)#P6yrc7Q&VGPe~~qyJWT6Mr|EFoE}VQ} zST)JNC9+%M`xNSxk{2p7wmNqAgw1V^AcvS^W%AHoiU#aOFReKFG|SjOXlHXWL_%;9 z8EN3SuT{W1$L?|EQfGvZ4~&^>0?WPlyi#m@WF=CZvx$ADZgnd+9x;M~0xM)GUJi{K zJu;{rP|0io+LNgBPm_?nwtq$0PA!p7qG>Wtay_le7<*jlw(;}$MnC@-jV3OOAW%;@ zuo(B}OmsSQb}79gdZ-(Bt?hn@4vq>9UPlq~9FJ6aaJc}4&!jv_&nGb`da7CM!0;za zq3AddC3_5A9+U7m2|QejFMMu%Sqtg!f;TIQwa8qah;Y4`-BZ=-;1xa25cvzixg2NO z>QK^X;#3A>2cQA~ZkeM;A?hLo*NW=7lDb=MwjJPqH3LB*^5v?fj0i-jqs{kgZTD`R zif1@DI^n`H@4elVAdHC|5eCigZ=#Tj!Qj7r+(#_G)yTFv+Xp4iifJrNHKdWaivPy818)4b%}xB3fB+(lVPBqO1!Xrd7>H4Wvl*M?nMZcRcm&2 zxIA|Vy@wnjcek^7Nq$iFuYg{L~*r=vj)8bUk@0*`idgbcNS6phXgj(#GgH%`g{D&4x ztZ`=#Ter)Y#Z8evLjX)ew6KPWu&UQ;3fWq+&d0CPWR^I*c(QJ=EW}bk=_*DFXT|## z)@jz3x^~C&S~@Ib$74s6WLrRCEc}z z?oTwcQW{g9L|l#8LlhqA{9I@_`7fkM$obyD!iY9?_%s!^<45R(L8FuBwhb!=74~Q9 zOZsmOp^441zxG=PMnOzwRCKErU3nB3u*GXatp+mL3nH#*#cz*23~)1cTiM2fWrgx(Pc}DtQf-RSen5B>Gc9Khub#xHx#cYe zyz3}?ebN}5wNsUPC0Z9EQzvJpZ_7P?t3}slx_1%I3C8K0aJ);Ln5 zM-G+?WoDWYBv1?s(5zxc;l@Z9i!0Y#mutEP)-h5izzdgrgmq=0Egi>1h}AzevVwrh zdu<=HD)V|5bg#l+KXltsWtl)ezQ(ObLuQeomS}7#Q`N#6v1}RclmDDDA9qz?6sUo( z2VAccVCrkCtza04wEc4^g;EA=PkszU{Qs5nvyZ@==7zB&O=`fy#UwS21)`1wM)0tHyfyjy5~{C(*%@Fz8?#*fPFZ%$$rj zHkVn$*&4>d+rQ?K&h}X)2STr&eP=v+Wu>AjVlm8jDV&w$_m2OK<|Wg9g4t~dkHX7y zpE<4?Bs`%2o?YWfcpulnlYbu@^9o{+su$`0ra%J^>iT!ItDEOdLWcg2k7ufR4y4&c z8DF8{5@f=)`%n2^8NuQ|A(ebFchWrY`r@K*h5%N@mZ`D=zxCcpo&|8=AyRzrKPD6# zeMtZ3CbyN$U6k_SF<)F4`*fdh{KBae9e-};Z!>@VS;ekCB! zpdf9%ly}feUtnQg0(TFxia8(kZ%3R*zF9EURd&i*{ESvglebW=L7wNKoD&l^Q!XN( zyiL4N^TME9I!$T9DQkhFv{KaSJ5>Vu@!@~@nnw)<2tiv;CdX zU?%-?Aiq?0ul;j36(^qWu+6URyB+^#efZ5IMY{@7OTT75wf6DenTeNt8aPps!Z3IUY{OGtol{4o{tk{Ri+cr3n#EuzI_ zA@IZ7tz7?5>(#am#q)>JsF#C$8@}q+Q&p`hD9@tbE|%@w-_S{5h>2~g^BUYE%rl*B@67xC4zZ@9hmX3w4lQbOsI{GZe zrJJmGi-Mz4aC7wuKwZhfhh?WDLzIa7o`253rvm7)uqb~ieU0PVu^2P@vSTyva7fK7 zV~K8r(8<8d5K0OlXE(c^e~~bN74nW!EA30iRfH`Z8_16J?YFP&00FF<9j%(TC;lR* zwQB6ejJYCdyQX*8@x;oQ+?Xrv)mv4l7{w-YCapkSn9FYE!>8^Aa+<)e_Q;^LrH=M9odq)ZG;hcO9$wZ~E8;C~fV3=;%_u zd%U$0j*t&-G<#vs62VR;_SCWI=QBX#`YNM|0JJ4_(St-)&zT$^(rMvF5?Ig^vy9vO z<2HDy`GJ^#vD3ER-S#A$kcyMY+5V5DI<<5~B7>aTJb&MDL}6wX#+8In32Cdpn$}Sg z527bpn8+?{fyL$h>ZQ|jK-4;$QD_sS6Y zzJZzL3&|K$`4F64VNi8r?WU>)EYnOEy|WQ+nT+mEedxa5Zjv0O>YsYJIelT7Q;OvXfkWN?c;WT~% zb2Ns|H@2x;R&mX#_l3rr+$b4yjcazulyb{-ZwEwJnBVWsiLh$!Z;SAj{>|3Z;!ds< zQu<&Wv7AzF6@&a59iN-oRh(;k`00U2pl3Y_Bdqq5+%tX0J2(={Q(Wt{J?~ZS;wW~W z{ii}1u&Q1z2h#cBNW|mz@x?^wa)#6b8KvS<@Fe{nD6(la)S&oEfad`#rfMN@uur(s zK7e>Q2nQ)gyh)a&hsS;wj zl#H5k-McYKbV6<2oF7dpqUV*{W<0vq@J-5b8|+(L-4R5FY>Znj*FZPp=WXPxitSqz z)1fW3uPx2gt4GS$vxqUACvqRRGTXHJeZrYG*?xKe7phjw`ty}05Gz8Pw~E{psqm;C4?J?*f0 zh0bYp3yS*?<5$hIzP6&C-=Eic-egC)74>0mWv?#4h~$l=<+=4DT<5g@!qe>q%O9TU zIbAfhefC-FNu2)RP)OQ5y*Sk7_}B6v)+IJ(BJw<%&-K_m1+1oPs9`&H1ypa{XqW_# z%)@~(c2Pl#>{12636~MppEJ%&y@y;c!G;(nI8n260o%V0Vtp;&)!`HMVsJ z_rEW1z6(HmjR-1=vAIi$=M+_5Wb9U z9M zrBsvy_4ydkgUdjSiNl-<%4u4yw%xxoWH4)(_PH#|BbCNe3m^y^}PhU zWafoD-eKU;^Bf?|*ng?~-p?Yx&tFDdif&!c0eZ9AEB`;9VmQ2e6bWywD}|&9FLT2m zl2LlJXf@xoa9Z|LiCsr54b5-L1v?%XG5j3b=AY6Lj|{Hq+eC~IcFL1=LUmOS)=;4b z-w|Qj5G%$C&P5~iXQGQAJq@mA)t2x?DVfJYGrpZZ}Oy=(+ zV2dtWeoJ-jSEcS&I>7prQgjPIQfr0Fq7<6XT-#~+I_~1B?d~o4n*;&Io<-h48Ts5o zDM5f{J{4DsAe>uqPlR_l7N$)JWfvGPWQi#g`_E z+DAT9yqNcvwAB}`8RN?t6WE_^$U|2tNfY1Pp2BHEKgl-wf3qf-D5N_gtUs*B_m-sz z3q^^U+_T4ivQ6+$QB84JGeMO$oI3aqb{xiTs+a7tM2s2I5kV`#PhGF>fEL0-r{+YD zq7+GM1aB(A&SncKKp`P^uh%qO^U2hWu7QO)XOq0Fq9GIMGGhDGAAJ12b;x)W!4b;Mj~V zO^c~cUF&uhIQeI;n25UgOJ&CXO_8u}n7mn^wL{(#Ha#1pf|~5uL02&% zb9HhGcKs}8Ci^jV?9!8o2`W*`1QX=nD)l9$ynk*@d6B-UK8t-is~+YrH_M37uyE8j zkZv}RmKj48$#d!uDmpPKn&5hoD~ILV#AAfZ#ID_+GtWo9U<>PIVVM&!$ZK=u43=3~ z=<%1?{O3mwTf!!BgsK67w%qco+w78wYgTE;>ZH;2--)N^wGqzOGsA=8-=2bk?~|58 zt{z3EA9`y#K$@fKqs{sq&;+OP-?LmyHC@ibnn)nz31v_6(G7W$#sVq9aMIsqE1G(o zn+lAVKG{A*C{gKJak7a*NKX9_F~J74BK|aHPBPVp@^LTn22N(}@T1>!h_B}f7i4Rr z`WD<6e=F*gFu|BKw{bVCH=K5wxG(c70rhmFpSqPv^=DRWY zAPqtI9A5P;JKCRvzNbOM@QrA&B~q#G1B;}xms>E}>S9JKePv=cd0L%cH7#;YDL%@M zFW35X)ghPKG%>(^ggrzRY+a}a$KzkZfGg5qr>hEpTJQm%PWlA#ydCg+npNd6PsVPS zj)9iJo`jqpad$>UvBbEUAUT|d@fSk$+wE7KgRB48oszkbWQMw+au6-OFj)@6R{A@N z`8&s#A4Kb#2M9CLMoxfq!7VS9pL?3lzMGvXnlPz94B&{IPb)Dx}hyQ zVZ(-__&o@@ig5F`YV>O;%-Jxk@jL|4On)Tv*J%0FNf|zw1MOFdiKmqpg$yo)zTkMg zxBchLLaVuxogk}$=A=$)cNl5$DGfO(zdj0o>WR5WLh6=r=Yb@PRA(%R>|{vuMBBzM zu1xtPYVr0Q#ZcY`bI??({Wx>>X+$5S>(80_*GbXMB9P=OLBJUTBVtE6`Axo4dzJZ2 z-pJ3Y@A3D+vE`019QF(wpBOwB4{F5*xeO9)CVwo)N5$vhc#c5^OL!(pM)%_8$*`Vyyfp+#8${|i zuw2&h@Pj3*!FyZWLyf_{N=~$juC`&CID1!B;CdJyyxWARQqXlS?U`M#yQJ@rjGQL! z>dbQL%nnT|WbfFu9EZH=zIeoj=oA}v&>5*wmlOFOJuLc%-OFsca3Ngqwpy>{^*2KB zb0T-IXJ7tQBW`gZ_Him=PfqBc3|{Yta7d4U9r#P#j@pX|-CJWN1dtdl321~aj#&6fuM>%`4oN91Gsu17UP%1N(?&H_mN8gV6 zb4Gu_By1pr#ZHR_74E{HDjM!ox2O)pKAtp%5paj#Y9W{Z>K*|E0ECw0&0 zS%k`AbNe=YhOV-XxfP%hhq)0VehAw)sdm;O2guaL*TK&p1_)16@;OU|1*NPkF#eq z-_LJFFR^ih9}0%`@AN`GxLx{(qJEclSAr+~q5hMPZ~wP;u2ALO)Rj9gEc7?-r}w;4 z^+hhcOR|!=RBe2I!uqOFMd5|5t^qh-p`nlw=@Xkxez&l%`svRf5XVb}DkjAaNe@3e znC7beFnIeYy4UA1$+WQR2gQc(Ovm#=?P9MXP@?8zfo``;(03Gxi0cp#n%`#okwK{Q zywKTrxBkpj`M*A$dz5JOw{IL$^j$cq;1To|hmrSro~~CftNo>8y_CwuUw7O=Udw;@ zS(J1x{_)4yJ7wZuA#&1>OiPXaty2h>N{fGNmT>21>R&>x*ShSxQ^X;uRcG}^o>o^E zO9BEutojP^UQzjm)xVV9d<9zjR^Q;RX_L~F)`f5H(vga$H~BJ#xC@UCte7- z`2s2o_U6?C7W!RikoEBTko;2QjfXyC!g!+u`a|`wt4QWH{lgrc4aOQ*dFx^kr^* zD&JF${QK2wzaR;1isipXm5KdAo*z;(jh-Su5CN_I&U$hMZyp`m*Wa}bJWc^kGw*5i zU(5cdTX}~$mJmq)Z#hRE_#|R(8Juu?ZveZ zlO4{2jJ*3JizAF3H)`-y0NLbLi806ewky)ahjd+Z#!i2GERt3X+Z#asxKHZ@0 z<0?@0R!R@>>D^dCr&looSlov$LZ^3sZElzmujy!fvv4-g0-(f zYmie}YCx#!CzFSg)QE%#H| zwM2~;K+bVSwz1Zcr`9@R3{`unxv>YMFLzA9X)D3`M2vWYmo5MCn&)Jl!rKty345Lo z5;xCB8O|RhN>xpME@LPDR(eZ&%%I23?k9Ft=7W|<%v`C`K7()2{IHI8;-s#Jv&HyN zJ!$AMZ~2b<)qMk;)wbJ!Y&VGV5S<3(9LDa+mJ{e(1|}Y6#?zM|%GgXypwhu_3nU(+;6AK;7~7SrXOhoe zO0_QcpHi<)K92+V`^%{#*Ah3T@$^XHSqo1EPPH)$IOJ%iJ63&lTKUR|XNuv~G-&#N zlsZ;lY~$oA3vvK{JEKb)RtX%;F~fi`AISVq8%PZYSiUrGrTsM8#?%Qo)2XpTsna zZ#3cKrRcM4yZMpAsue{%lF{of5{Y!q6absd7QU7vnUW}LJa2VMMHmD{fX$&?fS!b9=F+HQy~EMnXNQ4UzK z?uI^MHHhMM#VYosG84%jm=5xXRnQ_U-uxWWSF9Q3Hw!8*sf3^$e`n{po| z(k#_CwNGjb-`LmD-5%NbA0^T}Ud?d~SGw3QvDkAXi}?1u>|i3gG0cCF5v^+2{i0q>OMi(Vun_M;1B8bZVF8Tx)IvV-ZttN*B?Qr z8uq|(t%L4l(Tp9Jv4G`nZ0cbo{4ohfSR4WaFsqbV)IG2-KD8OyrfvY*B8~10cDlIE zGuyCO=2m4(z*gV^s>N~`?lfEz+t9TNQB+5e zGM0hgK56+`xM-4-^rcyOw`p*YzqaH>CZ;&}XFk?)(9hV)ITY6=UGop=eZ)Wr57o=4W*zpb8nC&%VuLW7}cYT z%+^7^avvcTX_JK#o6}vJ+Quf=1KY`j*uChRUCi(hfsghTCf+dE8@z^lQZ7-{Ny->% z>w>vx312@^W3}VmGbN?msUQyvYagLMXTmWRw$jbYF(oWrLgxrg0yelWA>%UGem#7r zCR|en}*xZb%$OZjUNUiW^`yWT^f{3qNle!Cu5>4`% zBf6W9(7WdBW*^*LVM+QS(<*onS4I|}CcbQpO`|t`A zH4?OYc&x3NiUKFs`>=tKn>0geHIW~40Vt)f-=}EIrp%Cn#HZ^K6?sDqgYw1FM^??v z)*G{H>xdZ8miX1<7Hq@zYLv&;fHDMg2`E?{ zpfDoOYZ!A<(jA?`2%S|_^c(o~G0!>OzrCT}bCSRJ&`~uGf6fFi&B#5nwtzIHp|n{g z$GZ4t`Zl^h1-Nb-jc2cqyEY>|UAl7suoon@tG8ZHR4Cn@O`>F*%2P++`teu8+({^a zl_Qp*(c$M<@3&=`G){&qgi#0kPBYob-?7y|^?2s0im=xAZIC1b1~gNd)l5qdJ*X;G zsF!dHx16sBGq}XHh0FPi*-BsZi%bSMX}{d*7~sBR_KUMNzKf}Yrvz$0F1qRffD!~e zkhICv&@?F8-_hbEb%mv?`7MZsa0M%8S>`z5g8z2a2ETo}9Y6Cbf zZS4A3Qtb|Y{TQp-fcq`6RkDhLMf>{f9F8a}2 z=3$FF6XZWfDsF2iYlI(HJ=G*J!J-txdkzhkBGE8@^24bWguquw7?~E`#~m zB6d+fe$UD~@q+7U^obA+kg-yrMc(50R7L&12P?qk2V1I${>{GEJOjQSUfB`hY{Xat zB7J{Ce@$$;_qJh6C%m*0lM5*RYU~H&SSV&}7ju>p+!Dz8X&`+30<%?^y6C54h!Ykj zpxy>~Xia&0m5Ng52;)@_?J-BfR@EZ8W07C9b)=U1hM%X%2c>62l3!Fb?-n*6XhHv+ zkrHeJcy5nN(N7$PH=i48*%4&{BG+0X{EQ*X3YgFA6B0dWTcy;aQRka;F;lxj(D>z+1KO#G4*Ss3r#yw~8ao^W zC8?+!)oDPNNY2hu{RVb7SMfKd+g&r3XN+*o%Revwy({BoQj+8GDJF=W{uVw}as6$t z|B($D4{u2&)&lUC+ij&JKnnHk6YMwhulOD_1;?S&v7+R;>vCvfW=pS`%m#-s54g!| zzucrcXVG=0cCJ?1^TWckaVmFrpzcFW?iQ=7ytfi=*FK_MS(wmH=6{sl_n6Wx?)l(a zPu7HDt;hGfWIKpWLHX;K!ftZ=07NhP7qXw#ngluEy%{1c^ZMlzWDTJM>3Qusuh_k( zwK3bg z)KRL5hL!ZJotD3!r07R2RK<&Dj((xceF=5_*W{c_FmAkXY^M#JPe)XZ6DJ3JJ+{U~ z4r6-|vMUOGLv%4}AjmflM9E;o#@yP9cH4#wTj5$qkB7WIE!r>bP2`%hlbShlwczM%roMT{;9ivgv(V{^txRF|e2BXWPg1l)jVRBx3ROj`+gxvgG>Wu;(R$pdYy1 za}_0bQgIkx@~8>roL2Z>wQFqJL~i@m!=NLVTLWKT?@Ado3$&Ln_PM`@rf#~tZI{e_ zQ0$%A9O`FYt*`I#eJm0>h39L8`UQCrCZ=&dqr1L#uC?+SZm}C2J<3TZG*$K<-U{*h z9Tu$HG@5tAjIQyi<3(qRz*f&b?Tyvlib-!pkoaUh$N`8ESy@}P_~5S?VgYgPCcW<# ze-0JnF1fIN9wnCFVsDQ*OXSwSb|WrS6)kpG^fD++^>&{1-8+A+-hI_HXqRE2#Lhxq zZVLy=ueCo83P?ftx*i)3{XYeGB57iwp;OSwGOfOI!?;lgxg#+TX!1vulaNlGZlzQR zj2+M4k#!`3^s_%Stf1b4MLd7!KTb6fOQa305&d97%S z_t+f8YoXrEi^gIkS#620P1KPq2d9oUzK4CC)-|UKAx-z}kp^KJJ(|j9&1}>Q=X5`m zti`sM=04N}m2`_w`iYh|`)!%5v!BOq1wNB5mI)V#qSrsG3hy)4!(j97I;Ry8a|>2 zaJ@vFP_)AQPF==#tT6LVLy6|fywx0CW6%76{ZWXnztt{z-yH(+&5Or-gj~ZLWuGJS zcqAr2d|%uT7mqc~xKsN28j@Sg)imqK`d@vX_p#}(d1?v{&-lK&qn|=Hh0|!nZ<2d$ zIJCZ0i(ehk?!hWanBp@=hglz-pd@}(eUsI`p?^*I!m_{=LCPWs+a77vdQi<;ygAMSKtE4Z(bBJ~9S z9wzWeU(_ta-QlWFO0-dyL12u##JZ{V0S8aBklIPn9)BKTw5)ko{QJ_(kjs3!yCjvo;@A-&KEkOyy0L|0h98vCs^8 z*@lw-I18$j)o?CN?N?u7u|fUUbc|J4^kr~qKQT1Q% z?&=wpU8;Wf1Z&vh@UQZOgVcXXV&^X#eI}*dO~3!hC=t#7>!s0a+U*(vQP2y>|NQTM zPBa>Xg5F0iXO_fSEqElmMtX}G-+4BU-~7M{z0@U_B=*-_43wRVKhJgCXh=3=VhsLqg;f6~T9~RSqM``8R9Ik6N&DaLYxx(S)m&Kj?M=+o zIuMpby8BisZ?geWH zKzG>*mMqUk#INblI=;zM@DzIo&3TBqPz4C`+U``7I$bw~StK1WF=cx?XQ^$Ens~td z_Q=QC6-P=|_-@DN=L#?tDo48Ni`1_y6a1c^tr?o6gBAcbg{gM&VyIsn9cR77d`8~~ z%@Kb^Rx0C?F~UnvV4u)X3Z1>gZ2l|)XBA#E< z5d$ELm%db$jR_Z5;mPX15DN%GBD0q2wd2hf7NJ2v`;Dw7p_6 zhZw@zBoj4hYq4un^ShQ$sV)|_(F5^^8EGQp6C-KRZb7eFLwI(g?Zp*oGd6u;iP$@9 zOh$JpW{P5iH%YQ7ay?u1Unpy$hYhvkznQ}8fJT>i9mnPvvlG1$c(Nvpim=gKC}QN_ zkUlls+33hUYe_TDFC8o&Ug$2Q_7lmmwtUkc!)fCN&Hd9NKU$dK{Td`kCjx&nq0>x9 z$S2WL2>#EJ&5E|JZ5cyYWaJ~XUtQA+|8$`$w>lQghZiwd$m{;SMLSg|1B;>q{yV|! zUJc(r?N24sqZTtZm=PNjg~wZ@1L2(iQY7^N)&}gv?S7&aX48--I3O#4cXt?LMUPN^ z{?;JEHO1uKLFRA5g_UUoiP09W9nz30?CCOEJkwikCVW_9cdEG-SI%^XZQ$tCc-deZ zWxdT7&G}@Z79aT>6=l~L8c|FPG0?1AtLtUDxs5^gt;n{WO%401FJyZ`4s3CV!THD9 z$&^u+ciRpZ%GzC=74|e8HczP(3BhWW@2sWlg(PS9Z#o%C&EXk8=wXm7A0aG-Lnt8Ba@pr^NZ7!e$!CCu%0Nq z)cVYq!XxVyGZEj-nEr#uaVRcaMfcS$>chbs57KFTc@N5FCgz;-W-W*I6!1Mzl)5m? zc^0)3yBStIs8`9z3Vz>T-bwfvgvLJm6_rMa zo4sy465r*LtZmp`8?9dSixm4%%N{#^U474K!;Ezu?A@&ET5<9=xFs^&ceGV4-#0?3 zu_C9St0YR4jxiUyncx!`3)KrA>^(2Iw>G1 zx&fbX3g$1b3^~aKTjr=4{l-hVl2nPKivrL0na?(2M}SV72l+3z%)zCLj9O!eeeeP% zYuwnvaX4Z%dUa{K9oV|j z(0iV8r?+(gBy=Hd*wrHallQ$wF)wzZM;J(S!WdI@%WwggO5?ixVw7G>SJn%aJ)F@z z9&Yuig~F?vbRYa?<_!d)+XKvwpj)!4+V>txJ`xxX)D!L{!&18I^Y}+6nskap1IPYt zd5-RA1xtV#&cRJK9*eOMKotJsDFE5+kP)r)#^n~}fy{s52m0lm0H&Wg;IJ5N5oh$J ziUNU6U)&Isaqe6Jhsg|p<)BOic1%pH`|mmqwk6JaWs0KY9G~+bKuLqJOzs>HZVYa9 z;4~=mIcq#=0jg7Q!y>ZxJg3^MA|0O(?T+6nWduM{Nmli4VcTGXVq|@;#Rjupp!IIDLREHorf%&~Wh?Eeo!px?5zBaE$4mI6~W zTFSj=ioRQA?^zFe1Rs#Y$Z7va(YgOK;s0;Agb-p5*+{n8n6sHvNeh*XwyW)Qjsfx-GpMFsV_O+KaCt_e%&ZIHUHx2 zVxXdHSG(t@OSS&KKJB*B9nVf5{|R3@#e7+Q@@e=rinmsnY=UiF@MRG?j`h-nRVXrPs6iH}@yME~WY&`4woJl$m9nuE6WT`cT}@ zw8aKKjyGM{k5w^6egtg={JeH__;v4JN)mVA^eE#2Id&ysZ?QIc>ffG?>l*ykhvT-U zA94ZLXP-UZ-Th10KbPr)_;ku)w%5?^d7Fnx;eT+L&^jxs(W5QL;8{Nq`riHV>x|Q1 zph?5tD)t)>W2f@Vp;^~L;=jtgEyRR>54@qb^yi@-nF4b#0=FWsXUchF;ozIeX24eq(JvsBx_qXjaYu^($3rM$3A@SEHZTsCGXPUIkZbb&B`{Exq#03-R zIkjz{aeKQ*M^2?i{`+V@sIs}fq4st4&2CX+QmH}AE5v5>OVIxg9nTZk@@kX*eSQ4b zxjTQ)Rb6|9{9_tW`*UXhGvQuXcy;?of!JsJj-1_;@8mDV*p;MkQ)ie^+q-XT{c2%LKiD73#h(PO`qbC==NiyYYS+#e zEzCUF$odJ&TTd^zn{Tx1lTjYG)df|QuF6tSDmaDr@A$T&b^L6Z@A=njqkkOaP(KK# zZxm!63k3_o-_~JuTz^K@aZAeY?p@5h+4o9fH!$<_#-|V`Mr77Ym1MhRKqztP|9xlqNBK)^?oCX|{U;?q zTC}tl+kBplpI`WOVzklMqb#FhH0T*bC&zx9urj#wy7_CY=S_#f^4Ta}O0N;Gy?SEh zcg=X-b~NZok$XELmgu`Ua6aN-x_dcj8fM!_kw!Ggby zT2=7a@S*bQOx{mW)%fu5hu24;EzX*X$317iFNv4LtQoE8dkN4RR+tZ)uVmT(VD8_w znvM7g8uMJadID%=s_u)Ys|6+tW0W~^aXeH%aXjAv6- z4AVeUzh|v5t%S*sgmTm?G5ng`&PQVRQT-7IHrZPB{@8zwvOlh!?lKKSy=CaF(P&HgMjs0@^ZIU5> zV3wAb_9v&>^=R*7{ppIv_OXgaSVi*Bl5ckw;hpV^<#)51?&fgAExs9 z7Mj-KUHm&_h4Wb5Bf$oU#Ln5u7ARfdRHS1RuG%6>6U~O~y9kS>Wg-n}o(W?wqSgtN z2<%5C*3JuaMyHR*T!JoxW>SpV4}8)w)J#U`gt}K-q^l+h41ItO#+K4!gO$kw*)N=$ z-137CW1$*qP73Zv@H+l;W(DBxtkB_krj0Y+isE_WJngagNgvUo_)kuQ`C58Dt^YsT zh<`KEWyy)j?R`_7RK59{xOMQzKQ)Mydu8F#!s7MJr}OEyNt0XGzE5xexb$FQ&G(Py zYNMoKs@JoYFUX=x)?vfeH&)-OApW&>y~z3DzMpG575%BZHnFRoJTmneIeeA1wD`Hb z}tr@bA(uYN`&SNLRX3UxwrPhI?3dV3Hv&J{&lBE4LmT@=Y75C%U0=hWR5oQ zE-)pv6^Ig(C+`WP!E?kZ1%g_@MScy}vlSCf(#bvDI!07gYDxO7gNZ1NL`6C-l8V2S z-5|PZ2o96-lX63CVqk$~#A!2R*W3yU_0-1eDb|Gpp$)%n%tHgW+VWkWE)zLt=yk+yqlPjNyoFZDeFeh)2d=P zlDWRm<4-7Cz?0BWEdDSH!B3}N#@QNm7qmuXFDd8bqB0H8k{t4jjA}_tKl?PTvY)@u zJl9SdSNSsm0d9H_p_Ycfi{#uk;~q)LE09#pvrG^ZIC4Y@WP$ahy@RdWF@Zqi7S8k4 z_c$AW^m%}}fsMbI?i(e6E4F+WaA8`)5bIotMbR{tQLd#V+OH#f@}d%4utr1f4WxU( z99(zH?^QIOdG}VfG8S4H4m2P;_Dxi)kl;cfL3>;T_KLH+rYCyN?a3%qoG6p=ytHo; zkV-#$Ta4pLv;cM;MKnjG@4k$~^vm?&R{1XXM;^CKGS-<(imlHL6Sl z2*0;`zHK^cum0fftIT)hz=M}($XmlV<$t}q(Y~s2UFFD=n3Fb!Uur@5?$%Qu6}9ub zglJU!80-JLEjXQiOqqf1DYY<*h$y*>Pe<4>{up5c?e=f{oLX97n}G>7+AoIk*B zx;%U_m}0rK?Wy8m67$#gyh6+eV?)Pe?tkTrcKco4(3K@#8B(|WE&FoJJGoVlAe*B6 z4b_j4N$-oo)#mR5cb@5P{d1>(5%K~zbA zU{TOr@6OX-0yjrhj;ia`R27=W$3rz8?2^}ddrQxR7tHp@sed{w< z=|)ki-d1o^h1joN?mMSspPc<2Xg|9(#i-WMiy)r=+HZLL)&DbK^ge03^C&3Xm$u9` z)<(hA`#`I$a`xjphVSl`(F~`)eY~CdWu)Nde?Jto*V1l`uZ6~b`1=lME8YIo#ZLdL za&gV<`9}*ODpkgV@0XTqu7~r|x$FO4*V-?a8|!KXi#&UW`SlZKCe2#g5o*v)B(@}Y-34*ImqvposLzx_JA{O?7o z)yraUxmewCg;2oAo4|2+s-q=8yBwyI`w8yUY>;C4JGBuatJ9~Q;Ql=Z9vRZ z;$`iof8@s>@H5d}t|pHcgc~j@OJ6c?%TXA7(0;wBRa@Z?J38#rs3F}Wo?ZRaUB|BW z@ot;2A%A(Wr;Fn4-2L6Hn>K%I-BydjmN0DaexS*OQi* zV%svOp)XF3gL&M7JpC`L&(0se;jQQ}UjF=D<=vOuZEu6>y2;wVOCny^ZIwM&?Oei4 zXZJf-?6bIARW*}q2g_w!2768gKWkMM|9M?!?nU(mp84;E$=`)|{oVWE&Ho)beKJJa zRpXuFtW8Ab>ztZD!&X~Szewj*#!n%Bq|fBjPN z-HlVzhFN9Af2TI}lYXA$!6*+Dcp||)lQ{oto~K?m-r^C(CpL?`P8xbn>&v}@sf`PD zgg3fdMA#D-ds*J6tonbXZ@yozoc>DWZkKpIv!BfKUB7(ZeLuf|c-ORC+`~@u$C}#H zzpn##Zd^tG@Sfhk`FQHWU{6;^MQ2o}w%IcttHb`rzo?HZ_CVc!Q`O1K&p>3BUv+`q z)~^^7+BO*8LTTLlS1UJOe z`X1+L-k;3hb9ZuFc+5_6j?P?H$KUh6|2x#2)z?KK{9D{6_9xh% z*|&m>_r)@rR|fL)KmG~l$)uKJj;-uG(O)fblMVc>6;o%a@vk7yVd}Ds;2P|A{jTey zhgYXQO!NBGGw&~!-W0LFrf}^Kfz`5!#0FU){)$_VM8#h>`tMSw*Y%8RzI7Yhp)U%w z^rt!|-apH#{G4^mS1R&rIJmJ(ySLHz zGx43=KLJz0r&FqG$m#tiy7^myZxt?i*M|qs6kNRY`Ud2W#QwKNDfh^&NUYe1yAFAf zZu@TIMBI(Roj<`pgC@V;VYO^beaH&^fv~roGS+H`ecy@iJi79!=I_{|?fG1pn$&q*ZcY3Pe*sId)97#TBWBLVI}C* za$L?c<%}r%A^gvOjg2p7K6`!}nwsPS*N_!aALVmvmw$X%8Az{NJ-FNfE(jd0rUxdiPO&AjrirShDVK*YS$`+F85-v7{E}BG0y!)tBo~KR(?$3d%{=KT|VX zr(D4!i#?ryX9i1_lqY#ey?QB|H3}wJCE3E znYz1yX??wvlz8*_M=7flo`V_M-#em6VT<=Q&$Y#!s{Hck#m%aXf{ueYz2aZ7!bFRv zx8J3#-go@-`N+R2uKcJ#I+#rVR@n19=gan9mJ%C3*g;+l)bM{}4wum13m%fN(rMv! zZGx@=H~th-KkRnnMq(vn-n;q(EC>p>fzsUyPwJi>}jZx()6EJP(8|Q6%g}POht9g69*_~B#ei)y*(pq?me$|(Kh*3+=pn{k1o@JzQ~@C4LaOuLhH z#QN6MYLV5M_|Q*R|H1$BFwL#JaizdEcWh?-#@@!4^>FJ~L*1=KSKeN~Qyvg=wZh6S zRaQtXMO76O2YaI)HnlrynsqO*_E+rIpS?$)KIRW@ziei`i4Abr>CCAO9Dee&EY0n` z2U{rkC{j#mm|@FdYK0a$eCAKTqfat8$|F@idSJ?TSro>a#EnI8)Bd{4})Db!*uGVGde(q9&4eOwO=sQlO@j((A%|P`esdP2y1^50UGbrDTfDF*y ztN4Xz_Zs;Qbyn|m)=X(Y-0MG9L0O-2RVQG#Ltr;QVaufV~% zap;4GhYp7xt`~0Z;kez(adWq^XhI8TGyx9PWlso84BE{XbVHzldJD&z{{VwpM+=l;Plz?-|fi zD@qq05qAMad3t=cnt9^;xv_Ti-^+>p4?KQTPjPpS(S^6%fA?~V9RA#IOgCLwT}tdy z_hkp`7l|2E8O$En(>gm9bcOhJ^~Ya_`OT-IQ$f0evc+~uf<~InnHs?&YH#O@ywt;9 zFMNARbbp&%_@VrE`62!Jab5xi9%(bqGXVuSh-#Plci4zO?Dzit%=f_`#~;R=?fHP( zS!hT z@ipDkv(3h)Tz&3a=(XbtLDys=^)uy*+s3@F>%_*p4|0FP!skeoS z2H<}en+nVBtu3WJwr3}LWKgK9gQxxlSwEdjG5Sknm5V&{ICr(-t4ors*Hl->3S#tC zmE0pk+x#h?)T8kx`(=&&f6p5_ZtMBJ`JrO#0M!59pBn1@H~PCBY712q{(Ab$S;T1P zN^kMvwbw5U3ERdhwLFV0zRdHo82&Bm+o!05BDdw;@2n5}cMAejUp*C!>AV}XaJs;G zu(mr-gJbZmm(KweqA@w=4~gd)N6f7%kJUd z@GL`@qTHMP|2yQ(eEofWLq%w?mwvi^>rqUY@f zocZ=Y$=*|3<>c~{X~30H*0pq!pb#GRx_3+gpKjfoe~g}{EBr2Ff8QU!Ct=Pr3f8`y$h z?sy=@kswO6vw7aG?8Mt{UD7g2j%f!vh!m}BvhX{ne$1)H$`7oBgNM_6%Owp1kQYfrbl6QowDXzYww*$iw z>?ahRe(OxtaeDYCEN>{^;^kK4z~ zT#+d|=T!RPO8a0|n{i-2L9D3Nep;-B_Jm-1zOk9oCZa&5tOp4}J*4xFA-~H1Q>GNg z9E7T{T=6t!mk3c!ff=yum3U7z7#k}3;Lbur81T(Q$h*99l~nsKY$b-b?2rP!%(OY_ z$z*YX>RD0TPWO9)YziUkND@brf7NJAqrb|+B2tR2rtt<4O}U>T&$SW74Fpe+(#R4w z{Lv$>5)N~9gPG6@VJYL(<{+7UdUD0URfqgaQ}u22f|3=c2@L^57=e zx>%4gPy}0WeXL5NAuLGnqM)DPMgCw{7Mu=yV78jj;t3uCwyt*%Ss#}cjbkutAj!+9 z@t~n-mBVxGvMl@fYRf37u~FfjD%_AF7>88@0Qm89vYoWhPl4sXTGq7RdkWi$1JuMP zxw@0376PU{5Yj+37PX^iQy@t9l7gDt!UJ_kMfYvHRjq&J598~PvtBFEV?_aQ>lFC! z9Z&oxP)*1R3_LNhIZo+Acgl#21tqJW6ss(!W|#CNubOT+*BI%+YseuaeCgAg z4E}pCjz3)iLaj#6g3$`;7^NmWNUveYPz*^FKISc$ipFA^K}tYL0oW#`-#7%_brcpa ztRpw6aUqF1Dh>qPNC1iu*KMX`C(U`~DV%hw^l{*++jFZFFL#-Gf!k8#Af;^9ZQ~@J z<&@>l+>HFmhA=I&b$RqWe{zU0pkL5K(N&omGj~v+&}~a$)TF!LlN;^uM`u?!2~}AN z(?`KASULm4sF9v0YDc5q;CU{M?q}o zrl{&rg`0GAhw)5QQ1+K%_L~tu30fqO{7Eylbzj_D;%Jan!-Av zMNnSPkuzs0j1C63;`61mXDm`>?IdOrL~uFN%LK9*px;=ElBsMh4i>!)=au=8YCL{( zo6>@49-llpK^$#-#0(8TfsfALJ4a#??I)-B1z}Xf26S$jh~A;%>5r7nf2(p0Q@hGVXFeE$^Qr1hViPn3@*u zHel&ttQ9jOkD^*f3ubHb*mX6Un>k!GQ9VkUV;xE7R5H4?NiNy?`V@C4_1_UzbBrif-q-KWZWISrm$92UkB9=Fcwhm_sa%l;?) zmHH#l_s-T|8|3uLti9gUb}+G5vp^xzUNS74SZ~+f9`e}!#Ylw4>F&t#r7(4fcFI&2TChC4E1uWEfv`fxpPT@N|NLmd3~c+r48 zqEELSD8y8H*9Z6ZT}g!^4^vVF>TSj@cG<38z8I`e*NCf=(lu6@YB*y^PJVo z>%L$AUMquV@%_KKpkBGdS~ut=3)~64i;X-f`JJGe&5Slf8VYCh9p*PwqL!poW^jih zS~&gwx&ExdCN|XA+)6WlJy7m=M8Xq}6zWKFVlo}4rY6^b9}{5>U0AhljM_I88OXNb z_aF@gJ?RaK^s{tMx?0g*teOJ9)EBr>X5sfF<;j%<^gHK(j#mIn7>+v#F&L{wmN2+I z8O|pjRm<(680pVv!FBE$9go#sRj-OA4jXO~b5Z)@5byDFwJgQZahWcNqE5f!u_#d| z24(qTYBQbJWfg9EZ);&Gca~dFAGa$Mvm|)+i9q^4O4^7+`+J{v_@vPM9`f@`)oBlK zL#0*yQck_tpR&`qwaWE!c#c-`oQ)+7RwEdU&HDORSehxu7$F)jfr;^Q^+gE4r{YBw zAP6HV1Zq`vFIf)}iXiC^ZA**5=c>Aq3H(Nqzt7coiA3lhQ#G5XF;dn6&`?j|C{RGd z%7|ceIAcS?zj8=vP+7HbU?amhZCuUV**+*DGjtDu#A^z zgUwyofdHs&LWyN0b)oQmMvVJ8=#ZnA^zSz)fF?avuto$<19mstDBGQY!vOn+n+(3<=NOZuK`C3fkaETsL`_h4(TbF;Olfc+ z+@K%jkL?|jADdQK60E1P@%?&M5=`abuES=L2{?ZDDyH-qywsry2wXUp8ilsUL;A9n z5;f$dfk4MRxC?s?W6ej*=8p9Z>!&NYm$*AJr55B9G83dMFBHNps#{oAa{QdLs;a6M zv}~%sIU28_Kov&Dj8N@Zh1}-34M~hF(1o8!?r#dE7Z&pmFqLbB*jRX{#udj982FbEcz3s5Gw=TObk1 z|Mkk?qkr5z1zbJ;icK2I!;YV0)Dnejtt{$+M`E`C=t*(x;w)5!R^>77B>|Vf>}t9x zG&nnF-^u}`O)IYUCU;14MaOgrzMJ}{A`AQ@9DK3th_Gp28`UoH`rt+`jtHOIx|T=j z_yJO2J7g#M)MRKoSY(6w%*|V0xAMD4Vh|I*gAamaX$l0=`N|W+&`_2O`+Qg2i6_IA zeI4n`?-`u*Py*&Yd2Yg5;?0#dj$a;K3NbR?-lb^Tt$*PSEg%2Ax;3-;lbL74os1tX*nMpy={j4y!> zA@8TGc8$I3snp*FkZnO97ipk-*mSvzCkpLX+JWz0tzn~m3vKzrUF+IlbK~gAs@h)b zASgy}FzU+P<{G1#3n;Hl%VdnMfLtcd2K`aklrVgaZj4>??#w_>ISnyblQnOJ)+$~+ zrz4P_3gpxIW0#Mmf75*59Q(|FrU&`dD*k$g`kcETCCI-;(PFfl{@jbyjgA{4{01xs zDIW9MQqAldVB1@?mS{JzsNpyLx(ydhPDS9NdYt`L&Na1lfh_2X%Pn+x;!icab8!CE z;X7zmkd1j==mU+paZ)xryP@ivng)U9X{%ejW=%uaq%rkG+$AtI=nDLq+u_ck0EnYTZSa$sA0SFZKSnj*CC>l_1nM#|tes9I2|xJA?Uj@o z(Zub&dfds0cZ>sch}w>U!sD*5PG@ZoL#B06ZD5 zMFb)^3BL^|BCNT`MS+g?8&OtvB8T&Fhd-OMcVt6`86yiZ^~GkA$hwzT;j_={N_#A*bwj7! zG{%dKfHp_0`?%y>EwHpY0x-8s2StksLCj{*E>f+o({#18@RW`2lRhg3ct>QN4sJ>n zpemu8Hj16g)`c8ZM%fmw2A#~A+eA-=;tVqSnu`3Jxu*q)Yzv%*KON_EK^iJ4i*k{o z*c2TnxFHVpH1`n|gexYIlzS4iU@LQ!1?7%M00Q{X8BcpVvu3)n_Ts|wMbSXxkV_#B zI!~goVka2$`_wSnP`T#sjH9w)9iLvE{;FvFAodu!D^bJVqVbWha2?y=jP9K}5UfU+ zu?n_IY)F+qD)Q%V>byrNELl#}T;zGM>ZLQ%IY(u-gN{D}N(u#uS_9@tE<|!T3f!l6 zCXoNgb$0h;+>7Fgpn!0nn((X7i~nKjJ();`q1=HG>9iIUH5spI7?I$t4thKl>_w}?$NtN^r-1(euF-smkTq0dYl4_<7g6|^lGR7_ zUHu1jl5rU+bzngC5gk~1kS97B44N#daCXaq4Yfg37_xA5Uz}i%PmlajWRCnT-ea3` z=ZNE>5j}+TCmPTK8pV%W6a zCJ)jBR>5e1X3sm^sDY0NBei94#1B|xrS$H!bW%?SX_)9!RuedvGgXO-;vt@_EVj#H5zrEtMXz=M!Papwgd)rkExE!5OY=D6zx#aEwHP9aENC zc>xyiL>{pU>*I8bmaqz|j@Z=%xZ!YjWaQ6Q)JZ{_A2>Rwc1a-?S$9|dRM*G`n;3w==%OCT}x_GRsC2z=J* z`bjR@P1A=f9E~}ghT#X^@1KI^9wT#Gs&sO6UX&SSCTDNxoDLnkE7pfl6+;Ilkux9$ z$w0Fk$AUBq5P;^QG*(i~D?MYdyoVbXx0y4A$YyiWLj1cq4KKRkByd3{mI+Co+e|sE ztb-&{xt1i3Gn~lIoLbEY(YxX4&Qld*Luz1^n9M#I{lHFM=fS4}-XYO0zU7RPuR*|0UN1 zG{HQ;zF3IX%;jTiGL$p)g`3e=ph}MGJ;`$wT>gG(I~iI_@+1^bJE7Ib=ZsZOQR=s3 z?UeRqFnMk;q(h_@v_jgBrW48%xR(e}(*q|`lQSAd@Kcm@yz-zFJo;;(G-{M_M8U$c zS}voHm_5NIf|#Ddj+I8yFzu*vk_@<3(S9B8tBmZzC)GRyUli8G@U6eWmwvOcd_c%; zVKGV)(3{gFgOt%sq+A*#M{TGDK8o%;61J(?;;BGoUr&liYu{uX3>T5E_qgr6%yX-k z3{d$)r=wnW*Q9DBSkl$?nmZuL2DB*Acaz2u`bbCSqhr1(St0;LD?TGurAsd0>z70Y z8^3uoU?S74xsMO@N%=9&KWC+KWa*pO>rIK@?2qy-EAnLP1IPEpJ4R5I)B64D#xFHC zoEva8LxGrroxbeVoqLrhBFhdm9w`x8x{3=4%zM8jILM}0YBmd<+@KuDauKemw9Zye znPA!|zmkpt4OuE0G3+2C3jVqc2NIOAPfL8Ue;GQn+3%m-U+OD;1%qvC_U{4w!>&mz zf(G&@%FMDLEX(KkHwG@kRcCG?GM!-0>o{#L&)bf`Ak|26ag(FiK*#DaVg&*{MP(&z z2qDGDw}rr%fIcF|EHYzuZBNnt__JX7(e2S?=W!Xi2x?UT7A3U< zw)jnt)D9|h%YqQHhZwn96l41;{Uj9qC>Z={163p~iB!{-zh)C@mDTz-0Qit%hhI*o z;sN@)d}|VPl;w)v!H;!l?E~stO~qZH z6SbCUva1_lxYNT2>lhgrHj*7Tjz~OgmWY2*ry+|%Q(O;%0aFv@QBsT)E9V#%$}6U}{XmzS|hvjUS> zJgenXvZXCu06BWi^-08HbeKY6^L%LZjbczv;7&i z_NR(ujrwZRRflk|?1UIT);2 zc8MK2ig{$-sPBcQvcLeisaZg&IZQr#IYlTHoDlp*Or#$u+g7P0mCZ^+h>YOa;8{+$ z6i}wMtzv?eG6^PB)}^6uaR<%P?r4}x?j5p05n)Y<%Brb=xydUztCD6AwF?Ql2Eo9M*bBv;y~f?xlvkFiMlx?4q%9Dncw`g&9V5rIyKC6Dd}y{!EV?q|Rd!1|&Z#PiU; zAa?uE>gK4a!B6Dn&I%g4#sL4evdR6JY>zPez6luv0_7A&(MN+{G%J9bOC}4GA?v~gWr>b9P`neVFp->| zul+u^sQRgr4n zQm{%ga9*>j$`;nbxn6alSa9f))|;mmN5RRG$L4>0g`M-Sd!fPI?dUk8+2UUZe~}~O z#vRI)DSU`lNOV%juIT{2J&C{wThny=lXvS^xWcT|6Mm>bfl@(L5hQBvC>u&8g&8Y3CDBUbJC?e-5n4W_ZdWKj`jP{dv!XlIE5l)K?bY=sGgDh_VOD zL+*z&21Y2C3K5bTq2se{cgtmcq|xAR0x89B7$9zui$aB+%oL51ZLi2B;aDU1Q9Vn` z%9hY+kB%XNG?}vcCZ}3*F6Ty7rAK6fjZy_sS)v+jmp!;1;-Om*HM5zq;o2?t`ViAh zlt_(355m0*Bh%XX-Kr0r0Uco~CSFXO^e~XN@lRW;tnrr84PS229jYVvY)-*+g5)h@ zW6wwwkc_lS+^?q%xMXT4?%7Xc$?MXg{pb#McYa1ol-gOw$c1<7LU;67b!iTJ7T)1! zAR3^f7JmN3@0w93tMDCc$TV1utwD-{Rz;Sw*sx^uV`$8uUatfvgf4=0qPilIc_u+m z6~e(Ta3YDTmzINx)3q-eyf zOOL!#l~?=%8$v~+hLj@=$d4pyjF=vJ1l1_2MAlrVUVI0eEO2$=dgx!O=8{6%oio-|c8`^kytMLE)BVp@)x2C!$rLxs9SAULK?I_q(1 z*<7!*d_7HG1my?d=o}W{ouzY8p#!Q{1fmgUb^c7UvE%ccR-#%!+N|27SW zFU(J&`;jqueACi}5vXK>5W^DJd^Ym2%9!w2p0=gLUSp6a%`UOv(Uk(Am>#Omun6M6 zU@LUja6fY-HZ)%|RVSoFAJB9=f_d;_$k{2tb%;HyLI-P)++Qd{2FDFsk>*pM|u7T$95}^ zdf!Q?A>OAgIQgtw<@CW5bx{OBYO_D8yTuvHR0Es@^vi|-^Mb@_3_6iCIcn1rxhy?b z6wu@c4%0*{vkNbA2Vh|pcy6Lz$2hJXS(SY8B8i!sp1?_ps8<9^(A%~wt|U4AEC2PsNGns1_E5^u-ur^T{J9g*ykB=e6qE3a69 zP#)NucfY_jmtftAd4-&el@sI>89>DoXuA{S@d*5r%Zd)rB$G&OYEelJPZ>R|C=`;g zB@aLkVBo=ivlu3_8VVm>6K@=QHqkLKc7e+Eakou_ho?mtCjbkwz$^?rdbJ@|%~jSf z6E}OtFeSziqCj7ezs~qmQ#fHQdCa2tBt2RRd>56jxT;Yl$ys0)U-)oPKbqis7*OXe zXMufX-%Y-rez`9AHhYiA@v36{fBU2@nknC1(&qA zp`q+h_PPSutzTF`Oo!A~kGD$(hC$W!g>PRIF2X9Su&OtWAw_)Q4;UluciFOCch!5-V|m^(kkjw z+k`=V`N|Ux`JB37Y1J`%a}zP6DreriRl_fRUR&d?RJQ))WXb3|OT>mpv3eL^+F&!y zKGo9yv#{b+4tU5gMPEQhQZ+FFtZW+{WWll&)JskWL(xg%LUcTpjmrn}{Kt_rQCnBd z+q%|=T_^c5ZFEmBVHrW4AYN~ZgN4CS%A$_cdt8~0iwQ2RME-#Z*FHBaWL`L%s+@2H zO*>*SFU;EPM;BJsJ5DtrVn)&?q~!|u0^lJ+xkedo>4Ng;Y}lG6#QCU21|1))jIy>a z00JkbVe}FUTz+Dqgf-eOn`p5h8L*4KEP`cO4!G)qTqV_R1E{-lyK3YbX|;g~)=`TY zTUul`l89`bNs8o&p0eK{6_PE{lJz5(2aYIL*}%*aCmd7QO2o8c{?ldcM!Ec634QM`iCfU&1MFwVT$ITp zC7XGseUDMeKNV^MGwpvW+_Rb2!8}HmgAY-bZfkh+Y}rM;M?*19_@~G@l8qh$#H>V2 zY^YnXEYgA9vS%c#ECVs}w`$TV`jdkMDU&hu%UF}NF{kn)UsTlf!_&2Upr-uU?Elpixuc~#1rQ$%Da%?KUoscZniufr8s#YbW>sv#!N^D!Ck`?4*d)G*h-3fOE8opDG+ zEir|uVJ8*PYH|CJ6HP3ii=Hf2Qqk>abG zK-f6j&^%h@;*?f5SIAwZ8fLY2ebulNIS&1Tb3l zE{$+Y>lhMtm!WzWCZ;7G+Xh zdmq*2*-bu%uAs~cXC`7(s)q_7A7}7IEEEyk!j$s!4>e4w?g=V#PbO2@v7RCpX{=O9 z|5tPf%Vt1IunM8W@jRK1=?FTE%i(>9c%#RG$eP-wgBO*FmN`O0ADmCiWHkNBa5(BX zT%?9q&}h9lElg4uCgx}=TOwN3GzrL)K2vED>t1fhMHn__g$AHN9u$lGCX!aSNv%oL zl^6LCpX((IHb4!15*E=_I}*T&wG-e6+Q~ifl;rR0Rw^UVL{fdn!fY!0T#q-`xu9Dq zz0z^)=2EwLAW&I8d5)<`e_dJcWFt3eW1vnp%ETi57u>G8atS3DT&L=(@?fRV>}=YC z?1`3EFmjpEU-3%Woj}4Pz)9J;5E;G;@;C)1yZV2J7Ea6!9Soa2$#)_TXLrf-l4i^R zq}t1Ip?}UcS1aX_eA+UdsSo6$5_A^u9xaqXe`>msjAbNTgUIm)!o6vyp+N*`uF%Db z&(>5Mh5+(6L?@A?@mwRJ^l@(F)gY7zLt8h^OUz?powe((O9Y9?nioyN zI(|Z<)!hbtZMG{&Bq~F>|~*O0wI_YV+PEXo?j%-v;k62tHS% zO_aT2aZ+=tF`E)X??}yb=^qb30fee9B z0oN(%a2OL8Zq?z{Cj6-B2m`$QK4t0UX90X2D*aMAJeu`zkCOT(^;q~ri3@gB%wl2* zNra_ocHj1!Xv9kT#ixoL-Y(`ZbE%jLjOntMC&~FL?~2X;X3&9iJpiDR60_u@&E@0% zYihv1huSh4?gMjPKc^zA)>`ZZL7hvt!zsC0^6~h~A1NMGsv@q#m4iZP*aPI^JB zwqO}AXi=PN-=gxpc%^#eo=L5Gs*~Q*26If;)-3^oeBy&Jw1=ibY-dlQ8rgZN=y66O zCyLx3S1}jKnmPHQ8qn4rb~($ckbzDvKcC+l+0{g&F8nT@gNp~F0v4Akvwd*>YsKOH zu24K%+kCIhB#_(^m>Z#BgGpr}ATs01_}kj! z4yGh@bB7Byr0&(^jbd#=8qdOv9zOnN8Jzc6A%FfTyxstfyH}V($6*YL&q&5PpZ0z4 zqKdvKBwT>DPICmcG|h>|;JwG`Cor&~kB{lZ69{(@qQMLXKFhxYWn{n@lN!}tl}z?A z@1IR3=o5&f&RA*u8BBGMnNhzZZ@n#8$wFd8rXn0eAFYm*EI@ho;i;V&pf#Gy2&RS3 z8$O9>Fra^nRkfone~M-Ot62{Xgo(iF|W?WsewvcbZz zefsDji4;!jAv!edqRO0ZkJRR8X!?BBOm6kcy|Q{so{7%Y24Oj*igzM$9!kHk-Rz8l zpk#6HDvwZZ7y)k1_p?+HfP-&{?`**lnL@;o1rR`Pj@=?debgkZ9%+OE5Dtdjgw{11 ziT%e=ETnI<5l1d%>a;QR8-r=18T8n1;1R0#L3hudgLYHnHQ95_L(kegHH6j%7A&#h zjp~ZK_c5>pf{cSZ$dWSuEvq^aHYk}J3hP2%hXfrYjHq3`Cws&{VqT%2G2XFTTjN>h zJ`ZQSZZdgCPo{?mM+GO~PWK+xOB!p-bIt$ZZhdc8uFW4S{opoWou7WTBo%m?Qhj&C zKQ{ah@*0=a&?Fq{Y1u2I2kY38@;~9RQO!w?t{lvxSjpneq^LqIjv*j=J6RTv`euxrEh=6dX(j!N>d$k+40j73x39DzO3}$_s2e9 z))iSEf#CcrPt5=6eVQ_@Xg{y4MP#*y1&3iawvTGQJ9%%ZgT_DaU$^-k6#P%=sW9Ey z&M^$zrlicX403<*h!{9$vK?9{==zgLgdD?xs7wA0GIyP!42fsA}lE`kcSrstArE!jEiNUas<_RHh18(%#g)pRh*cI*AJZu zG?iV)0RTO$RtJh2MWgA}5XJBTNCB)WE+&1NTr_ zio7&Gu8Hm@@sHKeajPTviO_+Uem6I3!N{up=oWk`iPsGPZ0mbfq@5WqLqPw+j;Tc~ z$1vLlr0`@`o)a>;J;c{MrPekNNYm^3Dlx>QwBD_N&GSK&#A|IAG8jeu-4XIrkr6>k zPYYjQzKat^G}i0<{vqR;=&o4gjd+*BRinA{b2d9mnJ8@Ri{sXSEYs2_o!By~#cs3e zBgYM%p(t21QJ_DQ5a+D?2->hXR1htQwAe43+=#(NLcjcyXlV8oI~kqn3O_Qn); zS!nT3+FP)a%Y*|()_dzj#V?Z<`Rw2X`<9dVp_x8vw92TqrJ%xV=$^a2PmMY0=5#P- zq0qPj`qOKIn*=wcSF{jqN2OTf^oU|uLxc4}tA)I3(hB!G%EpbeA->}78BWwbJ>KJg z?0&a_{a>}KqstUSt?_O8qRwL# zP)Sig846LNjO#~MI1L%tXkwMWVusjK@KD9m78G+F_lHxj=tgZd#}r znH!vuAW>*lY^%$|w$zV2p|c4Wq7H-Kq>=wy{yzp};l=;} literal 0 HcmV?d00001 From fa0d789d650f14ae98c35347db23f4116de4e42d Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:17:13 -0400 Subject: [PATCH 13/20] Add print statements to indicate tests passing. --- decoders.t.v | 16 ++++++++++++- multiplexer.t.v | 60 +++++++++++++++++++++++++++++++++++++++++++++---- register.t.v | 12 ++++++++++ 3 files changed, 83 insertions(+), 5 deletions(-) diff --git a/decoders.t.v b/decoders.t.v index 3c12d7e..616b67b 100644 --- a/decoders.t.v +++ b/decoders.t.v @@ -9,19 +9,33 @@ module decoder1to32Test(); reg enable; reg[4:0] address; + reg dutpassed; // Flag is set to false if any of the tests fail. + decoder1to32 DUT (out, enable, address); initial begin + dutpassed = 1; + // Test Case 1: do not enable writing to any register. enable = 0; address = 5'd14; if (out != 0) begin $display("Decoder Test Case 1 failed"); + dutpassed = 0; end // - // Test Case 2: enable writing to one register only. + // Test Case 2: + // Enable writing to one register only. + #5 enable = 1; address = 5'd14; if (out[31:15] != 0 || out[14] != 1 || out[13:0] != 0) begin $display("Decoder Test Case 2 failed"); + dutpassed = 0; + end + + #5 + + if (dutpassed ==1) begin + $display("All decoder tests passed."); end end // endmodule // decoder1to32Test \ No newline at end of file diff --git a/multiplexer.t.v b/multiplexer.t.v index 5fb4812..99dced4 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -4,27 +4,72 @@ `include "multiplexer.v" +// Test harness for multiplexer unit test modules. +module multiplexerTestBenchHarness(); + reg begintest0; + reg begintest1; + wire endtest0; + wire endtest1; + wire dutpassed0; + wire dutpassed1; + + mux32to1by1Test test0 (begintest0, endtest0, dutpassed0); + mux32to32by1Test test1 (begintest1, endtest1, dutpassed1); + + initial begin + begintest0 = 0; + begintest1 = 0; + #10; + begintest0 = 1; + begintest1 = 1; + #1000; + end + + always @(posedge endtest0 && endtest1) begin + if (dutpassed0 == 1 || dutpassed1 == 1) begin + $display("All multiplexer tests passed."); + end + end + +endmodule // multiplexerTestBenchHarness + // Unit test the 32:1 mux module. -module mux32to1by1Test(); +module mux32to1by1Test + ( + input begintest, + output reg endtest, + output reg dutpassed + ); wire out; reg[4:0] address; reg[31:0] inputs; mux32to1by1 DUT (out, address, inputs); - initial begin + always @(posedge begintest) begin + endtest = 0; + dutpassed = 1; + // Test Case 1: // Ensure that out is the same as the bit of the input at the // given address. inputs = 32'h000FFF; address = 5'd9; if (out != 1) begin $display("32:1 mux Test Case 1 Failed."); + dutpassed = 0; end + + #5 + endtest = 1; end endmodule // mux32to1by1Test // Unit test the 32 wide 32 deep mux module. -module mux32to32by1Test(); +module mux32to32by1Test( + input begintest, + output reg endtest, + output reg dutpassed + ); wire[31:0] out; reg[4:0] address; reg[31:0] input0, input1, input2, input3, input4, input5, input6, input7, input8; @@ -37,7 +82,10 @@ module mux32to32by1Test(); input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31); - initial begin + always @(posedge begintest) begin + endtest = 0; + dutpassed = 1; + // Test Case 1: // Ensure that the value chosen by the mux matches the value at the given address address = 5'd20; @@ -50,7 +98,11 @@ module mux32to32by1Test(); input30 = 32'd30; input31 = 32'd31; if (out != 20) begin $display("32 wide 32 deep mux Test Case 1 failed"); + dutpassed = 0; end + + #5 + endtest = 1; end endmodule // mux32to32by1Test diff --git a/register.t.v b/register.t.v index 7688978..e0821b7 100644 --- a/register.t.v +++ b/register.t.v @@ -83,7 +83,10 @@ module register32zeroTest(); register32zero DUT (q, d, wrenable, clk); + reg dutpassed; // Flag is set to false if any of the tests fail. + initial begin + dutpassed = 1; // Test Case 1: // Write to the register @@ -92,15 +95,24 @@ module register32zeroTest(); #5 clk = 1; if (q != 0) begin $display("32 bit zero register Test Case 1 failed"); + dutpassed = 0; end // Test Case 2: // Do not enable writing to register + #5 d = 32'hFFF000; wrenable = 0; #5 clk = 0; #5 clk = 1; if (q != 0) begin $display("32 bit zero register Test Case 2 failed"); + dutpassed = 0; + end + + #5 + + if (dutpassed ==1) begin + $display("All register tests passed."); end end endmodule // register32zeroTest From c6b84ab796b513cd46d019da03b1583f70fd0fe9 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:17:49 -0400 Subject: [PATCH 14/20] Update to reflect modified test modules. --- testing_guide.md | 15 +++++++++++---- 1 file changed, 11 insertions(+), 4 deletions(-) diff --git a/testing_guide.md b/testing_guide.md index c318a70..497957c 100644 --- a/testing_guide.md +++ b/testing_guide.md @@ -1,5 +1,9 @@ # How to Run Tests + +*Note: these instructions are specifically for running the tests on a Linux operating system.* + ## Getting Started + Before being able to run tests, you must compile the verilog files. To do this, at the command line enter the command `make` This will compile any of the necessary files to create 4 executables: @@ -8,16 +12,19 @@ compile any of the necessary files to create 4 executables: * `decoder_test` unit tests fot the decoder modules. * `regfile_test` the testbench for the entire register file. -# Run Single Test +## Run Single Test + Enter the command + ```bash ./ ``` -To run a single file once it has been compiled. If all unit tests pass, nothing will be printed to the terminal. The testbench will print "DUT passed? 1" if all tests in the testbench pass. A notice that one or more tests failed will be printed to the terminal otherwise. +To run a single file once it has been compiled. If all unit tests pass for a test module, a confirmation of this will be printed to the terminal. The testbench will print "DUT passed? 1" if all tests in the testbench pass. A notice that one or more tests failed will be printed to the terminal otherwise. If the testbench fails, "DUT passed? 0" will be printed to the terminal. ## Run All Tests -The script `run_tests.sh` will compile and run all of the tests at once + +The script `run_tests.sh` will compile and run all of the tests at once. If you are running the script for the first time, you will need to type ```bash @@ -30,4 +37,4 @@ Once you have gained permission run: ./run_tests.sh ``` -If all tests pass, the only output to the terminal from the script will be any commands run from the makefile and "DUT passed? 1". Otherwise, notice that one or more tests failed will be printed to the terminal. \ No newline at end of file +If all tests pass, a confirmation of all tests passing for each module and "DUT passed? 1" will be printed to the terminal. Otherwise, notice that one or more tests failed will be printed to the terminal. \ No newline at end of file From 1e423f701cbf285d6adc9250c91b9e8f636e10c7 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:21:08 -0400 Subject: [PATCH 15/20] Add additional print statements. --- run_tests.sh | 11 ++++++++--- 1 file changed, 8 insertions(+), 3 deletions(-) diff --git a/run_tests.sh b/run_tests.sh index 6b30798..977c373 100755 --- a/run_tests.sh +++ b/run_tests.sh @@ -1,8 +1,13 @@ #!/bin/bash -# Run the test scripts for the register file +# Compile and run the test modules for the register file. -make && +echo "building tests..." +make && +echo "running register unit tests..." && ./register_test && +echo "running multiplexer unit tests..." && ./mux_test && +echo "running decoder unit tests..." && ./decoder_test && -./regfile_test \ No newline at end of file +echo "running regfile test bench..." && +./regfile_test && \ No newline at end of file From 23153774d9b4110192b25c53250984d68d941616 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:21:57 -0400 Subject: [PATCH 16/20] Remove extra include statement --- regfile.t.v | 4 ---- 1 file changed, 4 deletions(-) diff --git a/regfile.t.v b/regfile.t.v index cea6e23..059c0ec 100644 --- a/regfile.t.v +++ b/regfile.t.v @@ -4,7 +4,6 @@ //------------------------------------------------------------------------------ `include "regfile.v" -`include "register.t.v" module hw4testbenchharness(); @@ -112,7 +111,6 @@ output reg Clk // Test Case 1: // Write '42' to register 2, verify with Read Ports 1 and 2 - // (Passes because example register file is hardwired to return 42) WriteRegister = 5'd2; WriteData = 32'd42; RegWrite = 1; @@ -120,7 +118,6 @@ output reg Clk ReadRegister2 = 5'd2; #5 Clk=1; #5 Clk=0; // Generate single clock pulse - // Verify expectations and report test result if((ReadData1 != 42) || (ReadData2 != 42)) begin dutpassed = 0; // Set to 'false' on failure $display("Test Case 1 Failed"); @@ -128,7 +125,6 @@ output reg Clk // Test Case 2: // Write '15' to register 2, verify with Read Ports 1 and 2 - // (Fails with example register file, but should pass with yours) WriteRegister = 5'd2; WriteData = 32'd15; RegWrite = 1; From 53889524fd6003c323b2b343e7aa431630627bae Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:23:25 -0400 Subject: [PATCH 17/20] Edit formatting. --- register.v | 3 --- 1 file changed, 3 deletions(-) diff --git a/register.v b/register.v index edd7ed4..8e729ec 100644 --- a/register.v +++ b/register.v @@ -13,7 +13,6 @@ input clk q = d; end end - endmodule // register // 32 bits of D Flip-Flops with enable. @@ -30,7 +29,6 @@ input clk for (i = 0; i < 32; i = i + 1) begin register singleRegister (q[i], d[i], wrenable, clk); end - endmodule // register32 @@ -47,5 +45,4 @@ input clk for (i = 0; i < 32; i = i + 1) begin assign q[i] = 1'b0; end - endmodule // register32zero \ No newline at end of file From af774a7e57d7c46940106a0d7eb0790fbc1506c7 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 19 Oct 2017 22:24:33 -0400 Subject: [PATCH 18/20] Remove extra && at end of file. --- run_tests.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/run_tests.sh b/run_tests.sh index 977c373..dcca6e7 100755 --- a/run_tests.sh +++ b/run_tests.sh @@ -10,4 +10,4 @@ echo "running multiplexer unit tests..." && echo "running decoder unit tests..." && ./decoder_test && echo "running regfile test bench..." && -./regfile_test && \ No newline at end of file +./regfile_test \ No newline at end of file From 9d2552bb287dc2e7241e2ec9b0d37f4572a156b2 Mon Sep 17 00:00:00 2001 From: Ariana Olson Date: Thu, 19 Oct 2017 22:27:03 -0400 Subject: [PATCH 19/20] Change wording in example. --- testing_guide.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/testing_guide.md b/testing_guide.md index 497957c..1334adf 100644 --- a/testing_guide.md +++ b/testing_guide.md @@ -17,7 +17,7 @@ compile any of the necessary files to create 4 executables: Enter the command ```bash -./ +./ ``` To run a single file once it has been compiled. If all unit tests pass for a test module, a confirmation of this will be printed to the terminal. The testbench will print "DUT passed? 1" if all tests in the testbench pass. A notice that one or more tests failed will be printed to the terminal otherwise. If the testbench fails, "DUT passed? 0" will be printed to the terminal. @@ -37,4 +37,4 @@ Once you have gained permission run: ./run_tests.sh ``` -If all tests pass, a confirmation of all tests passing for each module and "DUT passed? 1" will be printed to the terminal. Otherwise, notice that one or more tests failed will be printed to the terminal. \ No newline at end of file +If all tests pass, a confirmation of all tests passing for each module and "DUT passed? 1" will be printed to the terminal. Otherwise, notice that one or more tests failed will be printed to the terminal. From c90f177723470b55bd0a040ae64861f6a08b7560 Mon Sep 17 00:00:00 2001 From: Ariana Olson Date: Thu, 19 Oct 2017 22:30:11 -0400 Subject: [PATCH 20/20] Add a note of where to find deliverables. --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index 0fe8ea5..9ffe3a2 100644 --- a/README.md +++ b/README.md @@ -1,6 +1,7 @@ # CompArch HW b0100: Register File # **Due:** ~~Monday, October 16~~ Thursday, October 19 +**_Please see report.md for deliverables 1 and 6 and testing_guide.md for instructions on how to run the tests_** This homework is intended to introduce behavioral Verilog and practice test bench design. You will create your first memory, a register file, which will be reused in your CPU design.